基于EDA的数字时钟课程设计报告

上传人:飞*** 文档编号:30492082 上传时间:2018-01-29 格式:DOC 页数:26 大小:1.20MB
返回 下载 相关 举报
基于EDA的数字时钟课程设计报告_第1页
第1页 / 共26页
基于EDA的数字时钟课程设计报告_第2页
第2页 / 共26页
基于EDA的数字时钟课程设计报告_第3页
第3页 / 共26页
基于EDA的数字时钟课程设计报告_第4页
第4页 / 共26页
基于EDA的数字时钟课程设计报告_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《基于EDA的数字时钟课程设计报告》由会员分享,可在线阅读,更多相关《基于EDA的数字时钟课程设计报告(26页珍藏版)》请在金锄头文库上搜索。

1、中南大学信息院自动化梁雪林设计中南大学EDA 课程设计报告指导老师: 张静秋姓 名: 梁雪林学 号: 0909091925专业班级:自动化 0905中南大学信息院自动化梁雪林设计目录一、设计内容简介 .2二、设计要求 .2基本要求 .2提高部分要求 .3三、方案论证(整体电路设计原理) .3四、各个模块设计原理 .44.1 分频电路模块设计 .54.2 秒计时器模块设计 .74.3 分计时器模块设计 .94.4 小时计时器模块设计 .114.5 报时模块设计 .13五、实验中遇到问题及解决方法 .20六、结论 .20七、实验心得 .21八、参考文献 .22一、设计内容简介设计一个数字钟,可以完

2、成 00:00:00 到 23:59:59 的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。我设计的电路在具有基本功能的基础上,增加了下列功能:改变分频比、不同整点不同报时等;二、设计要求基本要求1、能进行正常的时、分、秒计时功能;2、分别由六个数码管显示时分秒的计时;3、K1 是系统的使能开关(K1=0 正常工作,K1=1 时钟保持不变) ;中南大学信息院自动化梁雪林设计4、K2 是系统的校分开关;5、K3 是系统的校时开关;提高部分要求1、使时钟具有整点报时功能(当时钟计到 5950”时开始报时,四个不同整点发出不同声音) ;2、分频比可变;三、方案论证

3、(整体电路设计原理)本实验在实现实验基本功能的基础上,加入了整点报时等功能;图 1 为实验功能方框图:显示译码电路计时电路整点报时电路脉冲产生电路校分电路校时电路保持电路闹钟报时电路闹钟设定电路清零电路星期调整电路闹铃关闭电路音乐产生电路图 1 实验方框图数字计时器基本功能是计时,因此首先需要获得具有精确振荡时间的脉振信号,以此作为计时电路的时序基础,实验中可以使用的振荡频率源为 4KHZ,通过分频获得所需脉冲频率(1Hz,1KHz,500Hz) 。为产生秒位,设计一个模 60 计数器,对 1HZ 的脉冲进行秒计数,产生秒位;为产生分位,通过秒位的进位产生分计数脉冲,分位也由模 60 计数器构

4、中南大学信息院自动化梁雪林设计成;为产生时位,用一个模 24 计数器对分位的进位脉冲进行计数。整个数字计时器的计数部分共包括六位:时十位、时个位、分十位、分个位、秒十位和秒个位。显示功能是通过数选器、译码器、码转换器和 7 段显示管实现的。因为实验中只用一个译码显示单元,7 个 7 段码(6 个用于显示时分秒,一个显示星期) ,所以通过 4 个 7 选一 MUX 和一个 3-8 译码器配合,根据计数器的信号进行数码管的动态显示。清零功能是通过控制计数器清零端的电平高低来实现的。只需使清零开关按下时各计数器的清零端均可靠接入有效电平(本实验中是低电平) ,而清零开关断开时各清零端均接入无效电平即

5、可。校分校时功能由防抖动开关、逻辑门电路实现。其基本原理是通过逻辑门电路控制分计数器的计数脉冲,当校分校时开关断开时,计数脉冲由低位计数器提供;当按下校分校时开通时,既可以手动触发出发式开关给进位脉冲,也可以有恒定的 1Hz 脉冲提供恒定的进位信号,计数器在此脉冲驱动下可快速计数。为实现可靠调时,采用防抖动开关(由 D触发器实现)克服开关接通或断开过程中产生的一串脉冲式振动。保持功能是通过逻辑门控制秒计数器输入端的 1Hz 脉冲实现的。正常情况下,开关不影响脉冲输入即秒正常计数,当按下开关后,使脉冲无法进入计数端,从而实现计时保持功能。整点报时功能可以通过组合逻辑电路实现。当计数器的各位呈现特

6、定的电平时,可以选通特定的与门和或门,将指定的频率信号送入蜂鸣器中,实现在规定的时刻以指定频率发音报时。四、各个模块设计原理中南大学信息院自动化梁雪林设计总体的顶层原理图如下:4.1分频电路模块设计一、原理图:二、源代码:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity fenp IS Port( clk : IN STD_LOGIC;clk1k : OUT STD_LOGIC;clk500: OUT STD_LOGIC;clk1hz:OUT STD_LOGIC);End;Archit

7、ecture one of fenp is中南大学信息院自动化梁雪林设计Signal F1k:STD_LOGIC;signal F500:std_logic;signal F1:std_logic;beginp1:process(clk)variable cnt1:std_logic_vector(1 downto 0);variable cnt12:std_logic_vector(2 downto 0);variable cnt13:std_logic_vector(11 downto 0);beginif clk=1 and clkevent then if cnt1=11 then F

8、1k flag500:=1; -when 0011= flag500:=1;when 0100= flag500:=1;-when 0110= flag500:=1;when 1001= flag1k:=1;when others= flag500:=0;flag1k:=0;end case;-else flag500:=0;flag1k:=0;elsif( (hou2=0001 and hou1=0010)and min1=1001 and min2=0101 and sec2=0101) then -12 xiaoshi 59fen 50miaocase sec1 is -mingjiao

9、 2ci 1 gao 1 di 9 4-when 0000= flag1k:=1;-when 0011= flag1k:=1;when 0100= flag1k:=1;-when 0110= flag500:=1;when 1001= flag500:=1;when others= flag500:=0;flag1k:=0;end case;elsif(hou2=0000 and hou1=0011) and min1=1001 and min2=0101 and sec2=0101) then -3 xiaoshi 59fen 50miaocase sec1 is -mingjiao 3ci

10、 2 di 1 gao 14 9 when 0001= flag500:=1; -when 0010= flag500:=1;when 0100= flag500:=1;-when 0110= flag500:=1;when 1001= flag1k:=1;when others= flag500:=0;flag1k:=0;中南大学信息院自动化梁雪林设计end case;-else flag500:=0;flag1k:=0;elsif( (hou2=0001 and hou1=0101)and min1=1001 and min2=0101 and sec2=0101) then -15 xiaoshi 59fen 50miaocase sec1 is -mingjiao 3ci 2 gao 1 di 14 9when 0001=

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号