电子密码锁设计_毕业设计论文

上传人:lizhe****0001 文档编号:29383779 上传时间:2018-01-24 格式:DOC 页数:34 大小:238KB
返回 下载 相关 举报
电子密码锁设计_毕业设计论文_第1页
第1页 / 共34页
电子密码锁设计_毕业设计论文_第2页
第2页 / 共34页
电子密码锁设计_毕业设计论文_第3页
第3页 / 共34页
电子密码锁设计_毕业设计论文_第4页
第4页 / 共34页
电子密码锁设计_毕业设计论文_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《电子密码锁设计_毕业设计论文》由会员分享,可在线阅读,更多相关《电子密码锁设计_毕业设计论文(34页珍藏版)》请在金锄头文库上搜索。

1、- 1 -摘要:本课题设计的主要是基于CPLD/FPGA来实现电子密码锁的设计,主要包括输入、控制和输出三大模块。其中输出部分由显示缓冲器、多路选通器、显示模块、时钟发生器、扫描信号发生器组成。显示缓存器是一个存储量为16位的寄存器,它用于存储LED显示的内容。多路选通器用于从显示缓存器中选择出某一个LED的内容用于显示。扫描信号用于选择片选信号,片选信号依次并循环地选通各个LED管时钟发生器,通过对全局时钟的分频得到扫描模块所需的时钟。显示模块由七段译码器和七段显示器组成。总体上输出部分电路的设计都是基于VHDL语言来实现的。关键词:电子密码锁 FPGA 硬件描述语言 EDA- 2 -目录1

2、 引言 .22 概述 .42.1 MAX+PLUS的概述 .42.1.1 设计输入 .52.1.2 设计处理 .62.1.3 设计校验 .72.2 MAX+PLUS II 软件的设计流程 .82.3VHDL 语言简介 .92.3.1 CPLD/FPGA .112.3.2 VHDL 的优点 .123 总体设计 .133.1 系统框图 .133.2 系统说明 .143.2.1 系统原理 .143.2.2 各部分作用 .153.3 总体电路说明 .183.3.1 总体电路图 .183.3.2 总体原理说明 .194 单元电路设计 .204.1 单元电路图 .204.2 原理说明 .305 总结 .3

3、25.1 设计的优缺点 .325.2 可以改进的地方 .325.3 结语 .32参考文献 .34致谢 .35- 3 -1 引言随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从 20 世纪 30 年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配

4、合使用,并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC 卡锁,生物锁等。但较实用的还是按键式电子密码锁。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。基于现场可编程逻辑门阵列 FPGA 器件的电子密码锁,用FPGA 器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。本课题设计的电子密码锁是基于 CPLD/FPGA。电子密码锁主要由

5、输入部分,控制部分和输出部分组成。其中输入部分包括 44 矩阵键盘、弹跳消除电路、键盘译码电路、键盘扫描电路;控制部分包括按键存储电路、密码存储电路、比较电路;输出部分包括多路选通器、七段译码器、扫描信号发生器。该密码锁具有密码输入、密码清除、密码激活、电锁解除和密码更改等功能,用一片复杂可编程逻辑芯片 CPLD 实现,因此它体积小、功耗低、价格便宜,维护和升级都十分方便,具有较好的应用前景主要应用有:汽车锁,门锁,保险柜,仓库。- 4 -2 概述2.1 MAX+PLUS的概述Altera 公司的 MAX+PLUS开发系统是一个完全集成化、易学易用的可编程逻辑器件软件设计环境,可以在多种平台上

6、运行,具有操作灵活、支持器件多、设计输入方法灵活多变的特点,是用户设计、开发以 Altera PLD 器件为核心的软件开发工具。MAX+PLUS是 Multiple Array Matrix and Programmable Logic User System 的缩写,软件版本分基本版、商业版和学生版,学生版软件在功能上与商业版类似,仅在可使用的芯片上受到限制。MAX+PLUS的 9.x 版和 10.x 版均可安装在 WIN95 或 WIN98/2000 操作系统上,其中 9.x 版需 32MB 内存、1GB 以上硬盘,10.x 版需 64MB 内存、2GB 以上硬盘。MAX+PLUS的设计过

7、程可用图 2-1 表示:设计输入项目编译功能时序仿真项目校正编程 /配置(可以使用原理图或文本输入设计要求)(用于检验设计输入是否正确)(用于检验所设计的电路功能是否符合设计要求)(将正确的设计通过计算机下载到硬件电路上,使其具有与设计电路相符的逻辑功能)图 2-1 MAX+PLUS设计流程图- 5 -为使设计者快速掌握MAX + PLUS II软件的使用 ,我们首先介绍MAX + PLUS II软件的设计流程,它是由设计输入、设计处理、设计校验和器件编程四部分组成的。2.1.1 设计输入MAX + PLUS II软件的设计输入方法有多种多样,主要包括文本输入方式、原理图设计输入方式、波形设计输入方式、层次设计输入方式和低层设计输入方式。另外还可以利用第三方EDA工具生成的网络表文件输入。输入法不同,生成的设计文件也不同,设计者可以根据自己的需要灵活掌握。(1

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号