数字系统设计(洗衣机控制器)

上传人:aa****6 文档编号:29251879 上传时间:2018-01-23 格式:DOC 页数:15 大小:6.43MB
返回 下载 相关 举报
数字系统设计(洗衣机控制器)_第1页
第1页 / 共15页
数字系统设计(洗衣机控制器)_第2页
第2页 / 共15页
数字系统设计(洗衣机控制器)_第3页
第3页 / 共15页
数字系统设计(洗衣机控制器)_第4页
第4页 / 共15页
数字系统设计(洗衣机控制器)_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《数字系统设计(洗衣机控制器)》由会员分享,可在线阅读,更多相关《数字系统设计(洗衣机控制器)(15页珍藏版)》请在金锄头文库上搜索。

1、 实验报告COURSE PAPER数字系统设计(洗衣机控制器)学院 :机电工程与自动化学院学号: 学生姓名:打印日期: 评分: 评语:一、实验目的: (1)学习系统电路设计; (2)巩固软件环境下的编程设计; 二、实验内容: (1) 实现以下系统功能:洗衣机控制器。 设计一个电子定时器,控制洗衣机作如下运转:定时启动 正转 20秒 暂停 10秒 反转 20秒 暂停 10秒 定时未到回到“正转 20秒 暂停 10秒 ”,定时到则停止; 若定时到,则停机发出音响信号; 用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 三只 L

2、ED灯表示“正转”、“反转”、“暂停”三个状态。 三、实验要求; 在 PC机上完成相应的设计输入,编译,仿真,对结果进行分析; 完成下载,在实验板上对程序进行验证。 4、实验步骤;(1)实验分析a.预设时间和编码电路(settime):接受用户通过按钮预置的时间信息,编码 成八位之后转给减法计数器。b.减法计数器电路(counter):接收编码之后的预置时间信息,向电机运转控制电路传递运行信号,并将预置时间信息和剩余时间信息发给数码管显示电路进行实时显示。c.数码管显示电路(showtime):接收减法计数器电路传来的时间信息,进行实时译码显示。e.电机运转时序控制电路(analyse):接收

3、运行起止信号,安排电机运行状态并编码输出。f.译码器(move):接收电机运行状态信号,译码后实时控制电机的正传、反转和暂停。程序框图如下:(2)程序编写a.预设时间和编码电路(settime):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity timeset isport (load:in std_logic;time_input:in std_logic_vector(3 downto 0);time_set:out std_logic_vector(7 downto 0);end

4、 timeset;architecture timeset of timeset is signal p1:std_logic_vector(7 downto 0);beginprocess(load)beginif(loadevent and load=1)thencase time_input iswhen 0000=p1p1p1p1p1p1p1p1p1p1p1temptemptemptemptemptemptemptemptemptemptempREVREVREVREV=0;RUN=0;PAUSE=0;end case;end process;end move;器件总电路图如下:仿真波形

5、如下:实物图:5、实验心得通过这次的 VHDL设计,我真的是受益匪浅。看到洗衣机控制器的题目,对于初次接触 vhdl语言的我来说,头脑里几乎是没有任何概念的,根本就不知道该从何处下手。不过我并没有气馁,在老师的悉心指导以及同学们的热心帮助下,通过网上查阅相关资料,我渐渐有了眉目。这次设计,让我初步掌握了 VHDL的设计方法与一些技巧,让我对 FPGA的编程、定时器和计数器的设计更加熟悉,也更加明白时序组合门电路设计思路和方法。在设计中也参了和查阅了很多资料,从中学到不少课本上没有的东西,这些对我的学习有很大的帮助。同时,我没那个明白了这次课程设计是一个理论与实际结合的过程,理论知识往往是不够的,只有把所学的理论与实际行动相结合,才能提高自己的综合实际能力和独立思考的能力。在设计过程中我们都会遇到很多的问题,但往往是一个小问题都会导致设计的失败,这就要我们花大量的时间区思索和改正,这是一个很艰辛的过程,但同时也是我收获最大的过程。考察我们的就是恒心与毅力。设计往往是一个苦中有乐的过程,如果从兴趣出发,则就会释然很多,通过这次设计,是我对数字电路实验产生了浓厚的兴趣,我希望在以后的学习中继续加深对这一个方面的学习。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号