数字电子钟的设计_毕业设计

上传人:飞*** 文档编号:2803375 上传时间:2017-07-27 格式:DOC 页数:19 大小:355.50KB
返回 下载 相关 举报
数字电子钟的设计_毕业设计_第1页
第1页 / 共19页
数字电子钟的设计_毕业设计_第2页
第2页 / 共19页
数字电子钟的设计_毕业设计_第3页
第3页 / 共19页
数字电子钟的设计_毕业设计_第4页
第4页 / 共19页
数字电子钟的设计_毕业设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《数字电子钟的设计_毕业设计》由会员分享,可在线阅读,更多相关《数字电子钟的设计_毕业设计(19页珍藏版)》请在金锄头文库上搜索。

1、陈文河 0858210103 南京理工大学 2011 03 061目 录摘要及关键字2ABSTRACT2一. 设计要求2二. 总体方案设计3 三.各子模块设计原理 31.计秒模块32.计分模块53.计时模块64.校准模块75.显示模陈文河 0858210103 南京理工大学 2011 03 062块96.报时模块127.分频模块138.去抖动模块15四. 硬件下载与测试161.硬件下载162.测试173.功能扩展17五.结论17参考文献18陈文河 0858210103 南京理工大学 2011 03 063数字电子钟的设计摘要及关键字: 数字电子钟是生活中最常用的电子设备之一,其主要功能是能够显

2、示时、分、秒实时信息,并能够方便地进行时、分、秒的初始值设置,以便时间校准。实现数字电子钟有很多方法,本课程是采用 VHDL 硬件语言的强大描述能力和 EDA工具的结合在电子设计领域来设计一个具有多功能的数字电子钟。关键字 : 数字电子钟 VHDL 硬件语言 EDA 工具ABSTRACT:Digital electric clock in life are the most commonly used one of the electronic equipment. Its main function is to display, minutes and seconds real-time i

3、nformation and can be easily when carried out, minutes and seconds, so that the initial value is set time calibration. There are many methods of design digital electric clock.This course is a powerful by VHDL hardware language describe ability and EDA tools in electronic design field with versatile

4、to design a digital electric clock .Key work: Digital electric clock VHDL hardware language EDA tools一 设计要求:1. 设计一个电子钟能够显示时,分,秒;24 小时循环显示。2. 电子钟有校时,校分,清零,保持和整点报时的功能,具体如下:(1) 数字钟最大计时显示 23:59:59 。(2) 在数字钟正常工作时可以对数字钟进行快速校时、校分,即拨动开关K1 可以对小时进行校正,拨动开关 K2 可以对分进行校正。陈文河 0858210103 南京理工大学 2011 03 064(3) 在数字中正

5、常工作情况下可以对其进行不断地复位,即拨动开关 K3 可以是时,分,秒显示回零。(4) 在数字钟正常工作时拨动开关 K4 可以使数字钟保持原有显示,停止计时。(5) 整点报时是要求数字钟在每小时整点到来前进行鸣叫,鸣叫频率是在59:53, 59:55, 59:57 为 1kHz,59:59 为 2kHz。3. 要求所有开关具有去抖动功能。利用开发工具 Quartus II 7.0 并结合硬件描述语言 VHDL,采用层次化的方法进行设计,要求设计层次清晰,合理;构成整个设计的功能可以采用原理图输入或文本输入法实现。4. 通过开发工具 Quartus II 7.0 对设计电路进行功能仿真。5. 将

6、仿真通过的逻辑电路下载到 EDA 试验系统,对其功能进行验证。二 总体方案设计:从设计要求可以对其进行层次化设计,将所要设计的多功能数字钟分层 6 个模块:(1)计时模块: 包括两个模 60 的计数器(计秒与计分)和一个模 24 的计数器(计时)。(2)清零,保持模块: 此模块功能是可以在计时模块直接嵌入即利用计数器的清零、保持 功能就可以实现。 (3)校准模块: 其对时、分进行校正。(4)显示模块: 将数字钟在数码管上显示。(5)整点报时模块: 由两部分组成,一部分选择报时时间(59:53, 59:55, 59:57,59:59),一部分选择报时频率(1kHz,2kHz)。(6)分频模块:

7、电子钟的激励源要求的是稳定 1Hz,而试验台提供 48MHz 的时钟,所以要设计一个分频器将 48MHz 进行分频得到 1Hz。(7) 防抖动模块:因为设计中有使用到开关,而对机械开关而言出现抖动现象 会导致系统误差甚至不能正常工作。所以在设计中要求有去抖动电路。将数字钟的各功能模块级联,生成顶层电路,实现总体设计要求,设计框图如下图所示:计时模块显示模块报时模块清零保持校时校分分频模块陈文河 0858210103 南京理工大学 2011 03 065三 各子模块设计原理:1. 计秒模块: 是一个模 60 的计数器,具有计时、保持、清零的功能。采用 VHDL硬件语言编写,程序代码如下:LIBR

8、ARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY second ISPORT( clk: IN STD_LOGIC;rst: IN STD_LOGIC;en: IN STD_LOGIC;qout1: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);qout2: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);co: OUT STD_LOGIC);END second;ARCHITECTURE beha

9、v OF second ISSIGNAL tem1: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL tem2: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk,rst)BEGINIF(en=1)THENtem1qoutqoutqoutqoutqoutqoutqout=0000;sel=11111111;END CASE;END IF;END PROCESS;END behav;仿真波形:例如显示 23:15:39 其从仿真波形结果可看知所设计的是正确的。其封装图为:clkrstqin13.0qin23.0qin33.0qin

10、43.0qin53.0qin63.0qout3.0sel7.0selinst(2) 4-7 译码器:LIBRARY IEEE;陈文河 0858210103 南京理工大学 2011 03 0613USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY decode47 ISPORT( qin: IN STD_LOGIC_VECTOR(3 DOWNTO 0);qout: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END decode47;A

11、RCHITECTURE behav OF decode47 ISBEGINWITH qin SELECTqout=00000011 WHEN 0000,10011111 WHEN 0001,00100101 WHEN 0010,00001101 WHEN 0011,10011001 WHEN 0100,01001001 WHEN 0101,01000001 WHEN 0110,00011111 WHEN 0111,00000001 WHEN 1000,00011001 WHEN 1001,00000011 WHEN OTHERS;END behav;其封装图为:qin3.0 qout7.0de

12、code47inst6. 报时模块:由设计要求电子钟在每小时到来前进行报时:59:53, 55:55,59:57 鸣叫频率为 1kHz;59:59 鸣叫频率为 2kHz,从而可以很容易采用 VHDL 语言编写程序实现,代码如下: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY bell ISPORT( clk_1k: IN STD_LOGIC;clk_2k: IN STD_LOGIC;qin1: IN STD_LOGIC_VECTOR(3 DOWNTO 0);qin2: IN STD_LOGIC_VECTOR(3 DOWNTO 0);qin3: IN STD_LOGIC_VEC

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号