《eda课程期末优秀论文EDA中VHDL语言与QuartusⅡ的综合使用》由会员分享,可在线阅读,更多相关《eda课程期末优秀论文EDA中VHDL语言与QuartusⅡ的综合使用(7页珍藏版)》请在金锄头文库上搜索。
1、论文题目:EDA 中 VHDL 语言与 Quartus的综合使用摘要:本文从 EDA 技术的定义及构成出发,系统介绍了 EDA 技术的发展概况,以及基于 EDA技术的电子系统设计的方法和步骤,特别是对于初学者的我们,要懂得运用 VHDL 语言与Quartus软件,再从课堂上的知识点出发,逐渐掌握 EDA 技术与可编程器件的应用,其中特别是系统数字集成,具有深刻的理论意义和实际应用价值。在以后的学习与工作中均起着至关重要的作用。最后再阐述了自己在学习中的感触。关键字:EDA 技术 发展 设计 VHDL Quartus 电子系统 仿真 正文:一、EDA 技术的定义及构成EDA 是电子设计自动化(E
2、1echonics;Des5p;AMtoM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,丰富,理解各异,所以尚无一个确切的定义。但从 EDA 技术的几个主要方面的内容来看,可以理解为:EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。
3、EDA 技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有 30 多年的历程。大致可以分为三个发展阶段。 20 世纪70 年代的 CAD(计算机辅助设计) 阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB 布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20 世纪 80 年代的 QtE(计算机辅助工程设计) 阶段:这一阶段的主要特征是以逻辑摸拟、定时、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等,使设计而能在产品制作之前预知产品的功能与性能。20 吐纪如年代是 EDA(电子设计自动化) 阶段:这一阶段的主要特征是以高级描述语言,系统级仿
4、真和综合技术为特点,采用“自顶向下” 的设计理念,将设计前期的许多高层次设计由 EDA 工具来完成。EDA 是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用 EDA 中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用 EDA 中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用 EDA 中电路板设计工具设计多层电路板。特别是支持硬件描述语言的 EDA 工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字
5、系统的芯片设计与制造。 所谓 EDA 技术是在电子 CAD 技术基础上发展起来的计算机软件系统。它是以计算机为工作平台,以硬件描述语言为系统逻辑描述的主要表达方式,以 EDA 工具软件为开发环境,以大规模可编程逻辑器件 PLD(Programmable Logic Device)为设计载体,以专用集成电路ASIC(Application Specific Integrated Circuit)、单片电子系统 SOC(System On a Chip)芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程 J。在此过程中,设计者只需利用硬件描述语言 HDL(Hardware Descr
6、iption language),在 EDA 工具软件中完成对系统硬件功能的描述,EDA 工具便会自动完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。 现代 EDA 技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。EDA 技术研究的对象是电子设计的全过程,有系统级、电路级和物理级各个层次的设计。EDA 技术研究的范畴相当广泛,从 ASIC 开发与应用角度看,包含以下子模块:设计输入子模块、设计数据库子模块、分析验证
7、子模块、综合仿真子模块和布局布线子模块等。EDA 主要采用并行工程和“自顶向下” 的设计方法,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用 VHDL 等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。 二、EDA 技术的发展 EDA 技术的发展至今经历了三个阶段:电子线路的 CAD 是 EDA 发展的初级阶段,是高级 EDA 系统的重要组成部分。它利用计算机的图形编辑、分析和存储等能力,协助工程师设计电子系统的电路图、印制电路板和集成
8、电路板图。它可以减少设计人员的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。 EDA 技术中级阶段已具备了设计自动化的功能。其主要特征是具备了自动布局布线和电路的计算机仿真、分析和验证功能。其作用已不仅仅是辅助设计,而且可以代替人进行某种思维。 高级 EDA 阶段,又称为 ESDA (电子系统设计自动化)系统。过去传统的电子系统电子产品的设计方法是采用自底而上(Bottom-UP)的程式,设计者先对系统结构分块,直接进行电路级的设计。EDA 技术高级阶段采用一种新的设计概念:自顶而下(TOP-Down)的设计程式和并行工程(Concurrent Engineering)的设计方法,设
9、计者的精力主要集中在所设计电子产品的准确定义上,EDA 系统去完成电子产品的系统级至物理级的设计。此阶段 EDA 技术的主要特征是支持高级语言对系统进行描述。可进行系统级的仿真和综合。EDA 技术在进入 21 世纪后,由于更大规模的 FPGA 和新器件的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的 EDA 软件不断更新、增加,使电子 EDA 技术得到了更大的发展。电子技术全方位纳入 EDA 领域,EDA 使得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面:使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于 EDA 工具的 ASIC 设计标准单
10、元已涵盖大规模电子系统及 IP 核模块;软硬件 IP 核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;SoC 高效低成本设计技术的成熟。随着半导体技术、集成技术和计算机技术的迅猛发展,电子系统的设计方法和设计手段都发生了很大的变化。可以说电子 EDA 技术是电子设计领域的一场革命。传统的“固定功能集成块十连线”的设计方法正逐步地退出历史舞台,而基于芯片的设计方法正成为现代电子系统设计的主流。作为高等院校有关专业的学生和广大的电子工程师了解和攀握这一先进技术是势在必行,这不仅是提高设计效率的需要,更是时代发展的需求,只有攀握了 EDA 技术才有能力参与世界电子工业市场的竞争,才能生
11、存与发展。随着科技的进步,电子产品的更新日新月异,EDA 技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。所以发展 EDA 技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。特别是 EDA 技术在我国尚未普及,掌握和普及这一全新的技术,将对我国电子技术的发展具有深远的意义。 三、基于 EDA 技术的电子系统设计方法 1.电子系统电路级设计 首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析和瞬态分析。系统在进行仿真时
12、,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行 PCB 板的自动布局布线。在制作 PCB 板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析和可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验 PCB 板在实际工作环境中的可行性。 可见,电路级的 EDA 技术使电子工程师在实际的电子系统产生之前,就可以全面了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开
13、发时间,也降低了开发成本。2. 系统级设计系统级设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述。由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA 系统就能以规则驱动的方式自动完成整个设计。系统级设计的步骤第一步:按照“自顶向下”的设计方法进行系统划分。第二步:输入 VHDL 代码,这是系统级设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等 ),这种输入方式具有直观、容易理解的优点。第三步:将以上的设计输入编译成标准的 VHDL 文件。对于大型设计
14、,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间。下面用我的班级学号为例,用 VHDL 语言设计一个模为 411 的 BCD 码输出的计数器。首先是用 VH 语言设计如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_220 isport(clk,clrn:in std_logic;co1:out std_logic;co2:out std_logic;q:ou
15、t std_logic_vector(11 downto 0);end count_220;architecture one of count_220 issignal a:std_logic_vector(3 downto 0); signal b:std_logic_vector(3 downto 0); signal c:std_logic_vector(3 downto 0);begin process(clk,clrn)begin if clrn=0 then a=0000; b=0000;c=0000;co1=0;co2=0;elsif clkevent and clk=1 the
16、nif (c=0010 and b=0001 and a=1001) thena=0000; b=0000;c=0000;co1=0;co2=0;else if a=1001 then co1=1;a=0000;b=b+1; else co1=0;a=a+1; end if;if (b=1001and a=1001) then co2=1;c=c+1;b=0000;else co2=0;end if;end if;end if;q(3 downto 0)=a;q(7 downto 4)=b;q(11 downto 8)=c;end process;end one;再用 Quartus软件进行仿真,为了避免竞争冒险的干扰,我采用的是功能仿真,波形图如下:可见,VHDL 与 Quartus软件的综合使用会给我们的学习与器件开