《EDA课程设计(论文)-洗衣机控制器》由会员分享,可在线阅读,更多相关《EDA课程设计(论文)-洗衣机控制器(17页珍藏版)》请在金锄头文库上搜索。
1、目 录摘要 洗衣机控制器 .21.前言 .32.总体方案设计 .42.1 基本原理 .42.2 原理框图 .43.设计步骤和调试过程 .53.1 总体电路设计 .53.2 模块设计与相应的模块程序 .63.2.1 数码管显示 .63.2.2 时序电路控制 .73.2.3 预置时间 .83.2.4 译码器 .93.2.5 减法计数器 .103.2.6 洗衣机运转模块 .103.3 仿真及仿真结果分析 .144.实验调试结果 .155.设计总结 .166.参考文献 .17 第 页1摘要 洗衣机控制器随着电子技术和计算机技术的发展,电子产品已与生活紧密相连,与此同时 EDA技术已经越来越渗透到我们的
2、生活中,给我们的生活带来了极大的方便。电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。在高校电子电路课程中结合教学内容引人 EDA 工具软件进行设计,使学生掌握一定的 EDA 技术和 EDA 软件的使用方法。设计一个洗衣机控制器,要求洗衣机有进水,洗衣(正转、反转、暂停三种状态) ,放水,脱水。显示工作时间,要洗衣机在工作时间内完成,定时到则停止,同时发出提示音。关键词:预设时间信号输入模块 ,状态控制模块,减法计数器模块 ,VHDL 语言程序As the electronic technology an
3、d the development of computer technology, electronic products already and life are closely linked, at the same time EDA technology already more and more the penetration to our life, brings to our life great convenience. Electronic design automation (EDA) technology, make the design of electronic cir
4、cuit personnel on the computer can complete circuit design, the function of logical design, performance analysis, timing test until printed circuit board of automatic design. In the electronic circuit course teaching contents in the EDA software is designed, make the student to master certain EDA te
5、chnology and EDA software use method. Design a washing machine controller, a washing machine, laundry water requirements (are turning, inversion, suspended three states), match-fixing, dehydration. Display work time, to work in the washing machine finish, time to stop, and at the same time, a prompt
6、. Key words:Default time signal input module,State control module, Subtraction counter module, VHDL language program; 第 页21.前言随着电子技术和计算机技术的发展,电子产品已与生活紧密相连,电子产品的智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。与此同时 EDA技术已经越来越渗透到我们的生活中,给我们的生活带来了极大的方便。目前,EDA 技术已成为电子信息类学生一门重要的专业基础课程,并在教学、科研,一级大学生电子设计竞赛等活动中,起着越来越重要的作用,成
7、为电子信息类本科生及研究生必须掌握的基础知识与基本技能。随着 EDA 技术的应用日益广泛,对 EDA 课程教学的要求也不断提高,以与 EDA 技术的发展相适应,正式基于以上考虑,进行 EDA课程设计。很多设备在嵌入电子技术后,其产品价值大大提高,如全自动洗衣机、数控机床等。学习 EDA 技术是十分必要的,它可以提高电子产品设计的速度、精度、可靠性,扩大设计规模等,降低人们的劳动强度,提高工作效率;电子技术扩大了人们的视野。计算机技术和微电子工艺的发展,使得现代数字系统的设计和应用进入了新的阶段。电子设计自动化(EDA)技术在数字系统设计中起的作用越来越重要,新的工具和新的设计方法不断推出,可编
8、程逻辑器件不断增加新的模块,功能越来越强,硬件设计语言也顺应形势,推出新的标准,更加好用,更加便捷。由于 EDA 技术的发展,使得现代数字系用设计从设计思想,设计工具到实现方式都产生了深刻的变化,呈现出新的特点,怎样体现这种变化,抓住 EDA 技术和数字设计的实质,是每个在学习的学生中都应思考的问题,EDA 课程设计,其根本目的是在于在有限的时间内,掌握了解数字设计技术的本质及其重点,从而数字系统设计和 EDA技术的精髓。EDA 软件工具也在设计中起着重要的作用,好的 EDA 软件为数字设计开发提供了平台和工具,它将设计者的设计思想自动、高效地转化为物理电路和网表结构,并以直观、便捷的形式提供
9、了仿真模拟手段。下面,将详细介绍此次课程设计的思路,以及设计流程。 第 页3 第 页42.总体方案设计2.1 基本原理洗衣机控制器的设计主要是定时器的设计。由一片 FPGA 和外围电路构成了电器控制部分。FPGA 接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的 EDA (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由 EDA 的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描
10、、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED 灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。2.2 原理框图正转 20 秒 暂停 10 秒 反转 20 秒 暂停 10 秒定时到停止定时启动图 2.1 系统总体框图 第 页53.设计步骤和调试过程3.1 总体电路设计洗衣机控制
11、器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间、数码管显示、译码器组成。具体电路如下图所示:图 3.1 系统总体电路 第 页63.2 模块设计与相应的模块程序3.2.1 数码管显示根据课程设计要求,必须将洗衣机的工作状态及工作时间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来实现数码管显示Library iee;Use ieee.std_logic_1164.all;Entity encode is Port(Bcd : in std_logic_vector(3 downto o);A,b,c,d,e,f,g: o
12、ut std_logic);End encode;Architecture rtl of encode isSignal temp:std_logic_vector(6 downto 0);BeginTableBcd = temp;0000= 1111110;0001= 0110000 0010= 11011010011= 11110010100= 01100110101= 10110110110= 10111110111= 11100001000= 1111111 第 页71001= 1111011End table;a0)Then wash_time:=20;state:=not state;End if; end if; end if;If(wash_time=0)then Q10 and start=1)then time_second:=time_second-1;else time_second:=59; end if;if(start=0)then time_remain0)then time_remain(3 downto 0)0)then time_remain(7 downto 4) REVREVREVREVif