实验二、proteus的使用

上传人:平*** 文档编号:25251055 上传时间:2017-12-12 格式:PPT 页数:20 大小:2.79MB
返回 下载 相关 举报
实验二、proteus的使用_第1页
第1页 / 共20页
实验二、proteus的使用_第2页
第2页 / 共20页
实验二、proteus的使用_第3页
第3页 / 共20页
实验二、proteus的使用_第4页
第4页 / 共20页
实验二、proteus的使用_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《实验二、proteus的使用》由会员分享,可在线阅读,更多相关《实验二、proteus的使用(20页珍藏版)》请在金锄头文库上搜索。

1、2017/12/12,1,1. Proteus的基本性能(7.5版本)概述,Proteus是英国Labcenter公司开发的嵌入式系统仿真开发平台。 1.1. Proteus由两个主要程序系统构成: ISIS.EXE(电路原理图设计.电路原理仿真) ARES.EXE(印刷电路版设计)1.2. Proteus的主要功能特点:1 ) Proteus的元件库以生产厂家的真实参数建模,不仅仿真结果真实可信,而且能用箭头与颜色表示电流的方向与大小。2 ) Proteus的电路原理图设计仿真功能,不仅有分离元件.小规模集成器件的仿真功能,而且有多种带CPU的可编程序器件的仿真功能,不仅能做电路基础、模拟电

2、路与数字电路实验,而且能做单片机与接口实验。特别是可为课程设计与毕业设计提供综合系统仿真。是当前在高校的实验教学中应用较多的软件。3) Proteus有印刷电路版设计,有印刷电路的自动布线功能。,实验二、Proteus的使用,2017/12/12,2,1. Proteus的基本性能(7.5版本)概述,1.3.Proteus的主窗口介绍:三大窗口:编辑窗口,器件工具窗口, 浏缆窗口.两大菜单:主菜单与辅助菜单(通用工具与专用工具菜单)。其中主菜单:文件菜单:新建.加载.保存.打印; 浏览菜单:图纸网络设置,快捷工具选项.编辑菜单: 取消.剪切.考贝.粘贴;库操作菜单:器件封装库编辑.库管理.工具

3、菜单: 实时标注自动放线,网络表生成,电气规则检查; 设计菜单: 设计属性编辑.添加删除图纸.电源配置.图形分析菜单: 传输特性/频率特性分析,编辑图形,增加曲线,运行分析 源文件菜单:选择可编程器件的源文件,编辑工具,外部编辑器等.调试菜单:起动调试,复位调试. 模板菜单:设置模板格式加栽模板系统菜单:设置运行环境.系统信息.文件路径. 帮助菜单:帮助文件.设计实例.,2017/12/12,3,主菜单,通用工具菜单,1.3. Proteus的主窗口简介,辅助专用工具菜单,电路编辑窗口,坐标原点,浏览窗口,器件工具列表窗口,实时仿真按键,ARESPCB电路,电路元件清单,电气规则检查,2017

4、/12/12,4,1.4. Proteus的主菜单简介,点击主菜单,2017/12/12,5,主菜单,编辑工具,点击鼠标: 点击此键可取消左键的放置功能,但可编辑对象.选择元器件: 在元件表选中器件,在编辑窗中移动鼠标,点击左键放置器件.标注联接点: 当两条连线交叉时,放个接点表示连通.标志网络线标号:电路联线可用网络标号代替,相同标号的线是相同的.放置文本说明: 是对电路的说明,与电路仿真无关! 放置总线: 当多线并行简化联线,用总线标示.放置子电路: 可将部分电路以子电路形式画在另一图纸上.放置器件引脚: 有普通.反相.正时钟.反时钟.短引脚.总线放置图纸内部终端: 有普通.输入.输出.双

5、向.电源.接地.总线.,调试工具,放置分析图 : 有模拟.数字.混合.频率特性.传输特性.噪声分析等.放置录音机: 可录/放声音文件.放置电源.信号源: 有直流电源,正弦信号源,脉冲信号源等.放置电压探针: 显示网络线上的电压.放置电流探针: 串联在指定的网络线上,显示电流值.放置虚拟仪器: 有示波器.计数器.RS232终端.SPI调试器.I2C调试器.信号发生器. 图形发生器.直流电压表.直流电流表,交流电压表.交流电流表.,图形工具,放置各种线: 有器件.引脚.端口.图形线.总线等放置矩形框: 移动鼠标到框的一角,按下左键拖动,释放后完成.放置圆形框: 移动鼠标到圆心,按下左键拖动,释放后

6、完成.放置圆弧线: 鼠标移到起点,按下左键拖动,释放后调整弧长,点击鼠标完成.画闭合多边形: 鼠标移到起点,点击产生折点,闭合后完成.放置文字标签: 在编辑框放置说明文本标签.放置特殊图形: 可在库中选择各种图形放置特殊节点: 可有原点.节点.标签引脚名.引脚号.,1.5. Proteus辅助工具菜单,通用工具菜单,2017/12/12,6,1.6.Proteus元件库简介,555,元件分类,子分类,厂商,未定义模拟集成电路库电容库CMOS4000库,连接器.插头插座库 数据转换ADC.DAC 调试工具库,二极管库ECL1000库电机库电感库拉普拉斯变换库存储器库微处理器库混合类型库简单模式库

7、运算放大库光电器件库可编程逻辑器件,电 阻 简单模拟器件 扬声器.音响器件,开关和继电器开关器件库热电子器件库晶体管库晶体管库TTL74系列库TTL74ALS系列,555,型号,类型,特性,元件图形符号预览,元件PCB封装预览,确认键,2017/12/12,7,2.电路原理图设计操作,电路原理图设计流程如右图:2.1 建立设计文件:打开ISIS系统,选择合适(默认为:Design Files)类型,确认建立无标题文件,并再存储时命名即可. 2.2 在模板菜单下设置:设计默认选项、编辑图形颜色、图形格式和文本格式;,开始,新建设计文档,设置编辑环境,放置元器件,原理图布线,建立网络表,电气检查,

8、是否合格,调整,结束,否,是,2017/12/12,8,2.3. 放置元器件与编辑调试工具(可统称为对象)的操作: 放置元器件或编辑调试工具:点击鼠标左键:放置对象: 元件先从元件库中确认调至预览窗口.从预览窗口放置编辑窗口。 编辑调试工具先从工具栏选定至预览,再放置编辑窗口。 改变对象放置方向,对象在预览/编辑窗口时,均可点击旋转键. -删除对象:编辑窗口删除对象,对要删除对象双击右键; -拖动对象:对要拖动对象,按住左键将对象拖到目的地.,2N222,点击,双击左键,放置器件,对元件单击右键,对元件双击左键,对元件双击右键,删除元件,编辑元件参数,对元件单击左键,确定对象编辑元件状态,确定

9、对象,2017/12/12,9,例1.编辑电阻参数 从元件库中选定的电阻阻值是100欧,可双击左键,在元件参数对话框中将其改为10K! 当然也可选择隐藏器件的部分参数!,2.6. 对原理图作电气规则检查 在工具菜单下做电气规则检查,根据有错提示修改,直到通过电气规则检查。,2.5.放置连线,绘制电路图: 按左键点击第1个对象(元件), 再按左键点击第2个对象(元件),二者间就有自动连线了!,2.4 .编辑(修改)元件参数: 按左键(或右键)选中对象, 按左键编辑(修改)元件参数.双击左键:直接确定并编辑参数。,标号标称值类型PCB,是否隐藏,2017/12/12,10,3.Proteus的电路

10、实时仿真,电路仿真就是利用电子器件的数学模型,通过计算分析来 表现电路工作状态的一种手段. 按仿真类型分为实时仿真与图表分析(非实时仿真)。,3.1. Proteus电子仿真工具 实时仿真是利用虚拟仪器(信号源,示波器,电压电流表)实时跟踪电路状态变化的仿真模式.,1)常用的电子仿真工具可分三类:激励信号源:直流电压源,正弦信号源,脉冲信号源,频率调 制信号源等(图表仿真也可用); 常用开关/按键 ; 虚拟仪器有:示波器及各种信号源等,(图表仿真时不 可用)。,2017/12/12,11,3.Proteus的电路仿真,2)激励信号源,初始电平脉冲电平,2017/12/12,12,3.Prote

11、us的电路仿真,4.逻辑数据,点击一次改变状态,启动前可设置常态。5.逻辑脉冲,点击一次输出一脉冲,启动前可设置常态。6.逻辑数据产生器,有BCD码和HEX两种。,3) 常用的开关/按键/数据拨码开关:,在实时仿真中,可在系统菜单的动画选项中选择电压电流状态指示: 数字引脚颜色表示电平; 线段颜色表示电压; 箭头表示电流方向。,利用调试工具菜单中电压探针与电流探针,既可实时仿真时显示电压与电流!也可做图表分析时的电压与电流的取样工具!,开关和继电器库,1.复位开关(按键),点击时接通,放开时断开。2.乒乓开关,点击接通,再点击断开。 3.多状态开关,点击一次改变一个状态。,调试工具库数字逻辑工

12、具,2017/12/12,13,1.示波器2.逻辑分析仪3.定时/计数器4.虚拟终端5.SPI调制器6.I2C调制器7.信号发生器8.模式发生器9.DC电压表10.DC电流表11.AC电压表12.AC电流表,信号发生器,频率调节,电压调节,波形选择,四通道示波器,同步触发,Y轴调节,X轴调节,时间/格,电压/格,X位移,Y位移,耦合,4 ) 虚拟仪器,波形输出,2017/12/12,14,3.2. Proteus实时仿真操作实践,例1.一阶电路实时仿真仿真,仿真实验步骤:1).在ISIS下创建仿真实验电路;从元件库调用电路元件;(基本元件参数可以修改)将元件连接组成待测电路。,2). 从调试工

13、具库中调用仪器(信号源、示波器)组成实时仿真测量电路.,3).根据实验要求在主窗口操作实时仿真案件进行实时仿真。,*4.有些参数也可从从调试工具库中调用测试探针直接测试。,2017/12/12,15,例2 单管放大器实时仿真,测量静态工作点:先调节基极电压(电阻)在放大器输出不失真时, 使输入ui=0(短接), 再测量三极管的工作点电压Ue ,Ub, Uc及Uce.,静态工作点测试:UCC=12VUb=2.92VUe=2.27VUc=7.50V,UCC =12V,Ub=2.9v,Ue=2.27V,Uc=7.5V,单管放大器实时仿真1.测量静态工作点;2.测量电压增益;3.测量输入电阻/输出电阻

14、;*4.通过逐点测量做出频率特性曲线。,uoc,电压增益Au=uo/ui,输出电阻:Ro=RL*(uoc /uo)1.,2017/12/12,16,4.图表分析-非实时仿真操作说明,4.1.电路图表分析(非实时仿真) 步骤:.建立分析图表 根据需要选择分析图表种类,光标指向编辑窗口,将分析图表添加到原理图;.在电路图中测试点设置相应测量探针,并将探针添加到分析图表中;.在图表分析编辑框,设置相应项目与数据。.进行图标仿真,(如有设置错误,则返回编辑对话框修改)。,图表分析项目1 模拟图表分析2 数字图表分析3 混合分析4 频率分析5 传输特性分析6 噪声分析7 失真分析8 傅立叶分析9 音频分析10交互分析11一致性分析12直流扫描分析13交流扫描分析,进行图表分析注意: 做图表分析时一定要断开实时仿真虚拟仪器与电路的连线! 这两种仿真分别运行不同的计算运行程序。虚拟仪器在图表分析运行中会提示错误!,2017/12/12,17,4.2 例3.一阶电路响应的图表仿真,3,4,一阶电路图表仿真步骤:1.在电路上添加激励信号源(先除去虚拟仪器);2.在电路测试点添加测试探针;从图表图标中选取模拟仿真表;,3.将测试探针加入图表;也可通过添加对话框加入4.设置模拟仿真起始与结束时间5.点击运行框分析运行进行。,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号