EDA技术使用教程vhdl(第四版)课后答案—潘松版

上传人:琴**** 文档编号:19522703 上传时间:2017-11-19 格式:DOC 页数:19 大小:661KB
返回 下载 相关 举报
EDA技术使用教程vhdl(第四版)课后答案—潘松版_第1页
第1页 / 共19页
EDA技术使用教程vhdl(第四版)课后答案—潘松版_第2页
第2页 / 共19页
EDA技术使用教程vhdl(第四版)课后答案—潘松版_第3页
第3页 / 共19页
EDA技术使用教程vhdl(第四版)课后答案—潘松版_第4页
第4页 / 共19页
EDA技术使用教程vhdl(第四版)课后答案—潘松版_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《EDA技术使用教程vhdl(第四版)课后答案—潘松版》由会员分享,可在线阅读,更多相关《EDA技术使用教程vhdl(第四版)课后答案—潘松版(19页珍藏版)》请在金锄头文库上搜索。

1、第一章1-1 EDA 技术与 ASIC 设计和 FPGA 开发有什么关系? P34答:利用 EDA 技术进行电子系统设计的最后目标是完成专用集成电路 ASIC 的设计和实现;FPGA 和 CPLD 是实现这一途径的主流器件。FPGA 和 CPLD 通常也被称为可编程专用 IC,或可编程 ASIC。FPGA 和 CPLD 的应用是 EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和 ASIC 设计,以及对自动设计与自动实现最典型的诠释。1-2 与软件描述语言相比,VHDL 有什么特点? P6答:编译器将软件程序翻译成基于某种特定 CPU 的机器代码,这种代码仅限于这种 CPU 而不能移植

2、,并且机器代码不代表硬件结构,更不能改变 CPU 的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL 程序转化的目标是底层的电路结构网表文件,这种满足 VHDL 设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将 VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译” ,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。l-3 什么是综合? 有哪些类型? 综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念

3、可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。有哪些类型? 答:(1)从自然语言转换到 VHDL 语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从 RTL 级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到 FPGA 的配置网表文件,可称为版图综合或结构综合。综合在电子设计自动化中的地位是什么? 答:是核心地位(见图 1-3) 。综合器具有更复杂的工作环境,综合器在接

4、受 VHDL 程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将 VHDL 程序转化成电路实现的相关信息。1-4 在 EDA 技术中,自顶向下的设计方法的重要意义是什么? P710答:在 EDA 技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。1-5 IP 在 EDA 技术的应用和发展中的意义是什么? P1112答:IP 核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。第二章2-1 叙述 EDA 的 FPGA/CPLD 设计流程。 P1316答:1.设

5、计输入(原理图/HDL 文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。2-2 IP 是什么?IP 与 EDA 技术的关系是什么? P2426IP 是什么? 答:IP 是知识产权核或知识产权模块,用于 ASIC 或 FPGA/CPLD 中的预先设计好的电路功能模块。IP 与 EDA 技术的关系是什么 ? 答:IP 在 EDA 技术开发中具有十分重要的地位;与 EDA 技术的关系分有软IP、固 IP、硬 IP:软 IP 是用 VHDL 等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软 IP 通常是以硬件描述语言 HDL 源文件的形式出现。

6、固 IP 是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬 IP 提供设计的最终阶段产品:掩模。2-3 叙述 ASIC 的设计方法。 P1819答:ASIC 设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。全定制方法是一种基于晶体管级的,手工设计版图的制造方法。半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。2-4 FPGA/CPLD 在 ASIC 设计中有什么用途? P16,1

7、8答:FPGA/CPLD 在 ASIC 设计中,属于可编程 ASIC 的逻辑器件;使设计效率大为提高,上市的时间大为缩短。2-5 简述在基于 FPGA/CPLD 的 EDA 设计流程中所涉及的 EDA 工具,及其在整个流程中的作用。 P1923答:基于 FPGA/CPLD 的 EDA 设计流程中所涉及的 EDA 工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及 HDL 的文本输入方式。 ) ;HDL 综合器(作用:HDL 综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、

8、化简优化算法以及计算机软件等复杂结体进行优化处理) ;仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试) ;适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计) 。第三章3-1 OLMC(输出逻辑宏单元) 有何功能?说明 GAL 是怎样实现可编程组合电路与时序电路的。 P3436OLMC 有何功能? 答:OLMC 单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。说明 GAL 是怎样实现可编程组合电路与时序电路的? 答:GAL (通用阵列逻辑器件)是通过对其

9、中的OLMC( 输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式) ,实现组合电路与时序电路设计的。3-2 什么是基于乘积项的可编程逻辑结构? P3334,40答:GAL、CPLD 之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的 PAL(可编程阵列逻辑)器件构成。3-3 什么是基于查找表的可编程逻辑结构? P4041答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。3-4 FPGA 系列器件中的 LAB 有何作用? P4345答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块 LAB、嵌入式存储器块(EAB) 、I

10、/O 单元、嵌入式硬件乘法器和 PLL 等模块构成;其中 LAB(逻辑阵列块)由一系列相邻的 LE(逻辑单元)构成的;FPGA 可编程资源主要来自逻辑阵列块 LAB。3-5 与传统的测试技术相比,边界扫描技术有何优点? P4750答:使用 BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针测试法和“针床”夹具测试法来无法对 IC 内部节点无法测试的难题。3-6 解释编程与配置这两个概念。 P58答:编程:基于电可擦除存储单元的 EEPROM 或 Flash 技术。CPLD 一股使用此技术进行编程。CPLD 被编程后改变了电可擦除存储单

11、元中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。配置:基于 SRAM 查找表的编程单元。编程信息是保存在 SRAM 中的,SRAM 在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。大部分 FPGA 采用该种编程工艺。该类器件的编程一般称为配置。对于SRAM 型 FPGA 来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的 PLD 器件归类为 CPLD;将基于查找表的可编程逻辑结构的 PLD

12、 器什归类为 FPGA,那么,APEX 系列属于什么类型 PLD 器件? MAX II 系列又属于什么类型的 PLD 器件?为什么? P5456答:APEX(Advanced Logic Element Matrix)系列属于 FPGA 类型 PLD 器件;编程信息存于 SRAM 中。MAX II 系列属于 CPLD 类型的 PLD 器件;编程信息存于 EEPROM 中。第四章4-1:画出与下例实体描述对应的原理图符号元件: ENTITY buf3s IS - 实体 1:三态缓冲器PORT (input : IN STD_LOGIC ; - 输入端enable : IN STD_LOGIC ;

13、 - 使能端output : OUT STD_LOGIC ) ; - 输出端END buf3x ;ENTITY mux21 IS -实体 2: 2 选 1 多路选择器PORT (in0, in1, sel : IN STD_LOGIC;output : OUT STD_LOGIC);4-1.答案 4-2. 图 3-30 所示的是 4 选 1 多路选择器,试分别用 IF_THEN 语句和 CASE 语句的表达方式写出此电路的 VHDL 程序。选择控制的信号 s1 和 s0 的数据类型为 STD_LOGIC_VECTOR;当s1=0,s0=0;s1=0,s0=1;s1=1,s0=0和 s1=1,s

14、0=1分别执行 y y y y yNULL;END CASE;END PROCESS;END ART;4-3. 图 3-31 所示的是双 2 选 1 多路选择器构成的电路 MUXK,对于其中 MUX21A,当 s=0和1时,分别有y diff diff diff diff NULL;END CASE;END PROCESS;END ARCHITECTURE ONE;顶层文件:f_subber.VHD 实现一位全减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_subber I

15、SPORT(x,y,sub_in:IN STD_LOGIC;diffr,sub_out:OUT STD_LOGIC);END ENTITY f_subber;ARCHITECTURE ONE OF f_subber ISCOMPONENT h_subberPORT(x,y:IN STD_LOGIC;diff,S_out:OUT STD_LOGIC);END COMPONENT;COMPONENT or2aPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f: STD_LOGIC;BEGINu1: h_subber P

16、ORT MAP(x=x,y=y,diff=d,s_out=e);u2: h_subber PORT MAP(x=d,y=sub_in,diff=diffr,s_out=f);u3: or2a PORT MAP(a=f,b=e,c=sub_out);END ARCHITECTURE ONE;END ARCHITECTURE ART;4-6.根据下图,写出顶层文件 MX3256.VHD 的 VHDL 设计文件。 4-6.答案MAX3256 顶层文件LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY MAX3256 ISPORT (INA,INB,INCK: IN STD_LOGIC;INC: IN STD_LOGIC;E,OUT:OUT STD_L

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号