基于CPLD的MIDI音乐播放器毕业设计

上传人:l**** 文档编号:166029779 上传时间:2021-02-04 格式:DOC 页数:56 大小:3.30MB
返回 下载 相关 举报
基于CPLD的MIDI音乐播放器毕业设计_第1页
第1页 / 共56页
基于CPLD的MIDI音乐播放器毕业设计_第2页
第2页 / 共56页
基于CPLD的MIDI音乐播放器毕业设计_第3页
第3页 / 共56页
基于CPLD的MIDI音乐播放器毕业设计_第4页
第4页 / 共56页
基于CPLD的MIDI音乐播放器毕业设计_第5页
第5页 / 共56页
点击查看更多>>
资源描述

《基于CPLD的MIDI音乐播放器毕业设计》由会员分享,可在线阅读,更多相关《基于CPLD的MIDI音乐播放器毕业设计(56页珍藏版)》请在金锄头文库上搜索。

1、word 格式. 职业技术学院职业技术学院 毕业设计 基于基于 CPLDCPLD 的的 MIDIMIDI 音乐播放器设计音乐播放器设计 系系 部部 电子信电子信息息与控制工程与控制工程系系 专专 业业 名名 称称 电电子子信信息息工工程程技技 术术 班班 级级 电电子子 1 11 11 10 01 1 班班 姓姓 名名 万万 芬芬 学学 号号 2 2 0 0 1 1 1 1 1 1 5 5 7 7 2 2 4 4 指指 导导 教教 师师 唐唐 军、军、 艳艳 20122012 年年 0909 月月 2020 日日 word 格式. 基于基于CPLDCPLD的的MIDIMIDI音乐播放器设计音乐

2、播放器设计 摘摘 要要 本文设计一个具有播放音乐、显示时间及彩灯闪烁功能的MIDI音乐播放器。根据 音乐播放器的基本原理,以Verilog HDL作为编程语言,选用Altera公司MAX II系列可 编程逻辑器件 (CPLD)EPM570T144C5作为控制核心,实现音乐播放器的功能。此外电路 还设计了光控功能,彩灯选用LED发光二极管,时间显示采用数码管。产品制作完成后, 经过测试,实现了所有功能,具有电路简单、工作稳定、耗电小的优点,可适用于生 活中。 关键词关键词:CPLD;Verilog HDL;蜂鸣器;光控 word 格式. DesignDesign ofof MIDIMIDI Mu

3、sicMusic PlayerPlayer BasedBased onon CPLDCPLD AbstractAbstract A music player, time display and flashing lights and function of the MIDI music player is designed in this paper. According to the basic principle of the music player, with Verilog HDL as the programming language, the Altera MAX II seri

4、es programmable logic device (CPLD) EPM570T144C5 as control core, realize the function of music player. In addition circuit also designed the control function, lights use LED light- emitting diode, digital tube display the time. Product production is completed, after testing, realize all the functio

5、ns, has the advantages of simple circuit, stable operation, small power consumption, suitable for life. Keywords:Keywords: CPLD; Verilog HDL; Buzzer; Light word 格式. 目目 录录 1 1 引引 言言 .1 2 2 方案论证与选择方案论证与选择 .2 2.12.1 主控模块的论证与选择主控模块的论证与选择.2 2.22.2 显示模块的论证与选择显示模块的论证与选择.2 2.32.3 总体系统总体系统方案方案 .2 3 3 硬件设计硬件设

6、计.4 3.13.1 MAXMAX IIII 系列系列 CPLDCPLD .4 3.23.2 EPM570T144C5EPM570T144C5 芯片芯片 .5 3.33.3 蜂鸣器电路蜂鸣器电路.6 3.43.4 光电传感器电路光电传感器电路.7 3.53.5 数码管显示及彩灯闪烁电路数码管显示及彩灯闪烁电路.7 4 4 软件设计软件设计 .8 4.14.1 工作原理及设计思路工作原理及设计思路.8 4.24.2 有限状态机有限状态机.9 4.34.3 中央处理器中央处理器设计设计.10 4.44.4 音调发生音调发生器器设计设计.10 4.54.5 光电传感光电传感器器设计设计.11 4.6

7、4.6 彩灯闪烁设计彩灯闪烁设计.12 4.74.7 数码管显示设计数码管显示设计.12 5 5 产品制作产品制作 .13 5.15.1 PCBPCB 制作制作 .13 5.25.2 元器件装配元器件装配.13 5.2.1 元器件检测.13 5.2.2 元器件安装.13 5.2.3 元器件焊接 .14 6 6 系统调试系统调试 .15 6.16.1 CPLDCPLD 程序编译程序编译 .15 6.26.2 CPLDCPLD 引脚配置引脚配置 .15 6.36.3 CPLDCPLD 程序下载程序下载 .16 6.46.4 系统联调系统联调.18 6.4.1 蜂鸣器的调试.18 word 格式.

8、6.4.2 光电传感器的调试.18 6.4.3 数码管的调试.18 6.4.4 彩灯的调试.18 6.4.5 分频的调试.18 结束语结束语 .20 致致 .21 参考文献参考文献 .22 附附 录录 附录附录 1 1 电路电路原理图原理图 附录附录 2 2 系统板系统板 PCBPCB 图图 附录附录 3 3 部分部分 RTLRTL 电路图电路图 附录附录 4 4 产品实物图产品实物图 附录附录 5 5 曲谱曲谱 附录附录 6 6 程序源代码程序源代码 word 格式. 基于基于 CPLDCPLD 的的 MIDIMIDI 音乐播放器设计音乐播放器设计 1 1 引引 言言 随着微电子技术和计算机

9、技术的不断发展,在涉及通信、国防、航天、工业自动 化、仪器仪表等领域的电子系统设计工作中,EDA 技术的市场正以惊人的速度上升,它 已成为当今电子技术发展的前沿之一。EDA(Electronics Design Automation)即电子 设计自动化技术,是指以计算机为基本工作平台,融合应用电子技术、计算机技术、 智能化技术的最新成果而研制成的一整套软件工具,主要能辅助进行三方面的设计工 作:IC 设计,电子电路设计,PCB 设计。没有 EDA 技术的支持,想要完成一些超大规 模集成电路的设计制造是不可想象的。 大规模可编程逻辑器件 CPLD(Complex Programmable Log

10、ic Device,复杂可编程 逻辑器件)和 FPGA(Field Programmable Gates Array,现场可编辑门阵列)是当今应 用最广泛的两类可编程逻辑器件,电子设计工程师利用它可以在办公室或实验室设计 出所自己所需要的专用芯片和专用产品,从而大大缩短了产品上市时间,降低了开发 成本。此外,可编程逻辑器件还具有静态可重复编程和动态在系统重构的特性,使得 硬件的功能可以像软件一样通过编程来修改,这样就极大的提高了电子系统设计的灵 活性和通用性。 选择做音乐播放器的意义:因上学期开设了HDL 程序设计和FPGA 系统设计 两门课程,通过这两门课程,系统掌握了 Verilg HDL

11、,并且利用了 HDL 做一些设计。 音乐播放器是常用的电子产品,为了更好的掌握 FPGA/CPLD 器件使用,加深对 HDL 的 深层次运用。因本次毕业设计能够更加的体现所学专业的知识,故选定该题目。 word 格式. 2 2 方案论证与选择方案论证与选择 2.12.1 主控模块的论证与选择主控模块的论证与选择 方案一:采用 MCU 设计做核心的控制,MCU 的频率较低(以 AT89S52 为例,它只有 20MHz) ,其缺点是功耗太大,并且是复杂指令集,用的麻烦,运行速度低,因此本设计 中不采用。 方案二:采用 FPGA 设计做核心的控制,其典型工作频率为 50MHz,其缺点是编程 数据信息

12、在系统断电时丢失,每次上电时,需从器件的外部存储器或计算机中将编程 数据写入 SRAM 中。其优点是可进行任意次数的编程,并可在工作中快速编程,实现板 级和系统级的动态配置,因此可称为在线重配置的 PLD 或可重配置硬件,但 FPGA 的价 格较高,不适宜本设计。 方案三:采用 CPLD 设计做核心的控制,其典型工作频率为 50MHz,规模小,使用 简单,性好,集成度高,其优点是在系统断电后,编程信息不丢失,时序延时是均匀的 和可预测的,改变引脚输出很灵活,I/O 数目多。适合于触发器有限而积项丰富的结构, 不需设计人员了解很深的 IC 知识,而且 CPLD 的价格合理。 综上所述,采用方案三

13、的 CPLD 作为主控模块。 2.22.2 显示模块的论证与选择显示模块的论证与选择 方案一:采用数码管显示,数码管不仅价格便宜、数据稳定、无闪烁、占用 CPU 时间少,外围电路较为简单,而且编写程序也很简单。 方案二:采用 LCD1602 液晶显示,虽然显示效果好,其外围电路也很简单,但是 价格较高,对于本设计不需显示过多的东西来说,过于浪费。 综上所述,采用方案一的数码管作为显示模块。 2.32.3 总体系统总体系统方案方案 通过方案论证与比较,以 CPLD 作为主控芯片,采用数码管作为显示器件,CPLD 负 责接收光电传感器的检测结果,根据检测结果确定蜂鸣器鸣叫、数码管显示以及彩灯 闪烁

14、,系统框图如图 2.1 所示。 word 格式. CPLD (EPM570T144C5) 光电传感器 串口 彩灯闪烁 数码管显示 蜂鸣器 图 2.1 系统框图 word 格式. 3 3 硬件设计硬件设计 本设计的主控芯片选用 CPLD,硬件电路由光电传感器、蜂鸣器、数码管显示、彩 灯闪烁及串口电路,由于串口电路选用 CPLD 的专用下载器(USB -Blaster) ,所以在 此不多做介绍。 3.13.1 MAXMAX IIII 系列系列 CPLDCPLD MAX II 器件系列是一种非易失性、即用性可编程逻辑系列,它采用了一种突破性 的新型 CPLD 架构。这种新型架构的成本是原先 MAX

15、器件的一半,功耗是其十分之一, 密度是其四倍,性能却是其两倍。这些超级性能是在提供了所有 MAX 系列 CPLD 先进特 性的架构的基础上,根据 Altera 专家们的意见而重新采用基于查找表的架构而得到的。 这种基于查找表的架构在最小的 I/O 焊盘约束的空间提供了最多的逻辑容量。因此, MAX II CPLD 是所有 CPLD 系列产品中成本最低、功耗最小和密度最高的器件。 基于成本优化的 0.18 微米 6 层金属 Flash 工艺,MAX II 器件系列具有 CPLD 所有 的优点,例如非易失性、即用性、易用性和快速传输延时性。以满足通用性,低密度 逻辑应用为目标,MAX II 器件成

16、为接口桥接、I/O 扩展、器件配置和上电顺序等应用 最理想的解决方案。除这些典型的 CPLD 应用之外,MAX II 器件还能满足大量从前在 FPGA、ASSP 和标准逻辑器件中实现的低密度可编程逻辑需求。 MAX II 器件提供的密度围从 240 到 2210 个逻辑单元(LE),最多达 272 个用户 I/O 管脚如下表 3.1 所示。 表 3.1 EPM 系列参数 特性 EPM240EPM570EPM1270EPM2210 LE24057012702210 典型的等效宏单 元数 1924409801700 最大用户 I/O 80160212272 用户可用 Flash 比特数 8192819281928192 word 格式. 速度等级 3,4,53,4,53,4,53,4,5 封装 100-pin TQFP 144-pin TQFP 144-pin TQFP 256-pin FBGA 由 Quartus II 综合出来的电路信号可知,本设计占用 512 个逻辑单元,其硬件 EPM240T100C5 不符合设计的需求,仿真结果如下图 3.1,故选用容量为 570 个逻辑单 元的器

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作范文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号