算术运算单元ALU的设计

上传人:平*** 文档编号:16326681 上传时间:2017-11-07 格式:DOC 页数:9 大小:146.07KB
返回 下载 相关 举报
算术运算单元ALU的设计_第1页
第1页 / 共9页
算术运算单元ALU的设计_第2页
第2页 / 共9页
算术运算单元ALU的设计_第3页
第3页 / 共9页
算术运算单元ALU的设计_第4页
第4页 / 共9页
算术运算单元ALU的设计_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《算术运算单元ALU的设计》由会员分享,可在线阅读,更多相关《算术运算单元ALU的设计(9页珍藏版)》请在金锄头文库上搜索。

1、一、设计题目及要求设计题目:算术运算单元 ALU 的设计要求:1.进行两个四位二进制数的运算;2.算术运算:A+B ,A-B ,A+1 ,A-1 ;3.逻辑运算:A and B ,A or B ,A not ,A xor B 。注意:从整体考虑设计方案,优化资源的利用。二、设计过程及内容(包括 总体设计的文字描述,即由哪几个部 1分构成的,各个部分的功能及如何实现方法; 主要模块比较详尽的文 2字描述,并配以必要的图片加以说明,但图片数量无需太多)1.整体设计思路(1)根据设计要求将题目划分为五个模块。包括两个逻辑运算模块,两个算术运算模块,和一个控制模块。其中逻辑运算模块为 AandB 和A

2、orB,Anot 和 AxorB;算术模块为 AB,A1。(2)因为需要进行四位二进制数的运算,因此用 A4A3A2A1 表示四位二进制数 A,用 B4B3B2B1 表示四位二进制数 B,用 C4C3C2C1 表示四位二进制数 C。其中 A,B 为输入,C 为输出。(3)用 74283 超前进位加法器和其他所学元器件设计并建立四个运算模块,每一个模块在高,低电平的控制下均可分别实现两个运算功能。再设计一个二线四线译码器作为控制模块控制四个运算模块,然后将各个模块连接起来,这样就实现了题目要求的八个运算功能。总体电路设计原理图2总体电路仿真图2.分模块设计(1)A 且 B 和 A 或 B 模块A

3、 且 B 模块直接通过四个二输入与门实现,A 或 B 直接通过四个二输入或门实现。同时设计一个转换控制端 M,当 M1 时,A 且 B 工作,M0 时, A 或 B 工作。最后设计一个总的控制端 K1,K11 时模块正常工作,K10 时模块不工作。A 与 B 和 A 或 B 模块原理图A 与 B 仿真图3A 或 B 仿真图(2)A 非和 A 异或 B 模块A 非直接通过四个非门实现,A 异或 B 直接通过四个异或门实现。同时设计一个转换控制端 M,M1 时 A 非工作, M0 时 A 异或 B 工作。最后在设计一个总的控制端 K2。K21 时,模块正常工作,K20时,模块不工作。4A 非和 A

4、 异或 B 模块原理图A 非仿真图A 异或 B 仿真图5(3)A+B 和 A-B 模块A+B 直接通过 74283 两个四位二进制数加法器直接实现。A-B 可以看做 A+(-B) ,即 A 加 B 的补码来实现。同时再设计一个转换控制端 M。M=0 时实现 A+B, M=1 时实现 A-B。最后再设计一个总的控制端 K3,K3=1 时模块正常工作,K3=0 时不工作。做加法时,CO 为进位输出,CO 输出 1 表示有进位,做减法时,CO 为借位输出,CO 输出 1 表示有借位。A+B 和 A-B 的模块原理图A+B 仿真图6A- B 仿真图(4)A+1 和 A-1 模块A+1 如同 A+B 一

5、样可以通过 74283 加法器实现,只要把 B 设置成 1即可。A-1 可以看成 A 加上 1 的补码实现。同时设计一个转换控制端 M。M=0 时实现 A+1, M=1 时实现 A-1.最后再设计一个总的控制端 K4,K4=1 时模块正常工作,K4=0 时模块不工作。做加法时,CO 为进位输出,CO 输出 1 表示有进位,做减法时,CO 为借位输出,CO 输出 1 表示有借位。7A+1 和 A-1 模块原理图A+1 仿真图A-1 仿真图(5)控制模块控制模块可以通过一个二线四线译码器来实现,依次控制上述总的控制端 K1,K2,K3,K4。从而可以分别实现各个模块的功能。译码器真值表输入端 输出

6、端S1 S0 K1 K2 K3 K40 0 1 0 0 00 1 0 1 0 01 0 0 0 1 01 1 0 0 0 18控制模块原理图控制模块仿真图三、设计结论(包括设计过程中出现的问题;对 EDA 课程设计感想、意见和建议)通过这次 EDA 课程设计,我收获很多,也学到了很多。一开始刚拿到题目的时候,很没有思路,后来经过耐心的思考和上网查阅资料开始理出了一点头绪。每个模块设计的都很顺利,仿真也很成功。但在后期将电路下载到实验箱进行硬件仿真时,却屡屡出错。经过多次排查,最后确定为实验箱问题,换了一个就好了。不其中线路接触不好的问题也给我带来了很大的苦恼,很多时候结果输出不正确,转动转动接触点就好了。总之最后的实验还是很成功的。看着自己做出来的东西也很激动。最后,感谢学校给我们安排了这样的一次课程设计。让我们可以将所学应用实践之中。感谢实验过程中各位老师的悉心指导与帮助。9

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号