基于DDS的信号源设计论文:毕业设计论文

上传人:二少****畔 文档编号:162949649 上传时间:2021-01-22 格式:DOC 页数:41 大小:1.07MB
返回 下载 相关 举报
基于DDS的信号源设计论文:毕业设计论文_第1页
第1页 / 共41页
基于DDS的信号源设计论文:毕业设计论文_第2页
第2页 / 共41页
基于DDS的信号源设计论文:毕业设计论文_第3页
第3页 / 共41页
基于DDS的信号源设计论文:毕业设计论文_第4页
第4页 / 共41页
基于DDS的信号源设计论文:毕业设计论文_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《基于DDS的信号源设计论文:毕业设计论文》由会员分享,可在线阅读,更多相关《基于DDS的信号源设计论文:毕业设计论文(41页珍藏版)》请在金锄头文库上搜索。

1、摘 要本文主要介绍了采用直接数字频率合成DDS芯片实现正弦信号输出,并完成调频,调幅功能。它采用美国模拟器件公司(AD公司)的芯片AD9851,并用AT89C51单片机对其控制,首先从DDS芯片的输出,经低通滤波得到正弦信号,然后对该信号进行调频,调幅。其中调频部分可以通过在软件中修改DDS芯片的频率控制字,相位控制字等来实现,而调幅部分需在DDS输出正弦信号之后外加一调幅器实现。调幅部分将DDS输出作为载波信号,RC振荡器提供1KHz振荡作为调幅信号,它利用了乘法器MC1496完成对正弦信号调制。该系统输出稳定度、精度极高,适用于当代的尖端的通信系统和精密的高精度仪器。本文首先介绍了直接数字

2、合成的原理,然后提出了系统总体设计方案,还有系统硬件电路和软件编写设计等,其中如采用的AD9851芯片和调幅模块电路设计作了详细介绍。关键词:直接数字频率合成(DDS);AD9851;调频;调幅AbstractThis article mainly introduced uses the direct digital frequency to synthesize the DDS chip to realize the sine signal output, and completes the frequency modulation, the amplitude modulation fun

3、ction. It uses the American simulation component company (AD Corporation) chip AD9851, and with the AT89C51 monolithic integrated circuit to its control, first from the DDS chip output, obtains the sine signal after the low pass filter, then carries on the frequency modulation to this signal, the am

4、plitude modulation. Frequency modulation partial may through revise the DDS chip in software the frequency control word, the phase control word and so on realizes, but the amplitude modulation are partial must after the DDS output sine signal sur- amplitude modulator realization. The amplitude modul

5、ation partially the DDS output took the intelligence signal, the RC oscillator provides the 1KHz vibration to take the amplititude-modulated signal, it used multiplier MC1496 to complete to the sine signal modulation. This system output stability, the precision are extremely high, is suitable for th

6、e contemporary acme communications system and the precise precision instrument. This article first introduced the direct digital synthesis principle, then proposed the system system design plan, but also has the system hardware electric circuit and the software compilation design and so on, like use

7、s the AD9851 chip and the amplitude modulation module circuit design has made the detailed introduction.Key word: Direct digital frequency synthesis (DDS); AD9851; frequency modulation;amplitude modulation目 录引言11直接数字频率合成(DDS)原理及性能综述11.1 DDS原理11.2 DDS性能62 课题总体方案设计及论证72.1 设计任务及初步规划设计72.2 方案提出及系统整体设计框图

8、72.2.1 系统各部分设计方案72.2.2 系统整体设计框图93 硬件电路设计93.1 直接数字频率合成模块93.1.1 AD9851内部结构103.1.2 AD9851芯片引脚分布及功能介绍103.2 单片机控制电路设计143.3 调幅模块设计163.3.1 MC1496内部结构163.3.2 MC1496静态工作点的设置173.3.3 MC1496在振幅调制中的应用173.4 键盘与显示模块设计204 软件设计214.1 软件实现思想214.2 软件流程图及程序225 系统调试305.1 硬件电路调试315.1.1 调试与测试所用仪器315.1.2 调试过程315.1.3 调试经验总结3

9、15.2 软件调试315.3 总调试316 结论32谢 辞34参考文献35附 录36引言-装 - 订 - 线- 在现代雷达,通信,宇航,仪表,电视广播,遥控遥测和电子对抗等系统中,一个能在一定频率范围内提供一系列高准确度和高稳定度的信号频率源有着广泛的应用价值,同时也是众多应用电子系统实现高性能的关键因素之一。随着应用频率和精度要求的不断提高,传统的晶体振荡器直接输出频率已不能满足要求。因此,大量的频率合成(FS,Frequency Synthesis)技术得以广泛的使用。频率合成通过对一个或多个高稳定度和精确度的参考频率源进行加、减、乘、除运算得到所需的频率。 频率合成(FS)的方法有很多,

10、按其工作模式可以分为:模拟合成和数字合成两种;按其实现的手段可以大致分为:直接合成和锁相环合成两种。目前应用较多的频率合成方式主要有:直接模拟合成,锁相环合成(PLL,phase Locked Loop)和直接数字合成(DDS,Digital Direct Synthesis)。而直接数字频率合成(DDS)则是上个世纪70年代,美国学者j.Tierney等人在撰写的A Digital Frequency Synthesizer一文中首次提出的以全数字技术,从相位概念出发直接合成所需波形的一种新的频率合成原理。它将先进的数字信号处理(DSP,Digital Signal Processing)理

11、论和方法引入到频率合成领域中,从而有效解决许多模拟合成技术无法解决的问题。限于当时的技术和器件水平,它的性能指标尚不能与已有的技术相比,故未受到重视。但由于DDS频率转换速度快,频率分辨率高,以及在频率转换时可保持相位的连续,易于实现多种调制功能,全数字化,可编程,易于微处理器控制,易于单片集成,体积小,价格低,功耗小,生产一致性好,因此,DDS技术近年来得到了飞速发展,它的应用也越来越广泛,可以说直接数字频率合成的兴起也标志着第三代频率合成技术的形成。 1直接数字频率合成(DDS)原理及性能综述1.1 DDS原理直接数字频率合成是近年来发展非常迅速的一种新型频率合成技术,其基本思想是基于正弦

12、查找表。根据正弦函数的产生原理,直接对输入参考时钟进行抽样,数字化,从相位出发,用不同的相位给出不同的电压幅度,最后经滤波平滑输出所需的频率信号。DDS主要由参考频率源、相位累加器、正弦表、转换器(Digital Analog Converter,简称DAC)和低通滤波器(LPF)等组成,其中相位累加器与正弦ROM查找表合称数控振荡器(Numeric Controlled Oscillator,简称NCO),它是DDS的核心。的结构原理图如图.1所示,参考频率源是一个高稳定的晶体振荡器,其输出信号作为DDS合成频率的基准频率,同时保证DDS中各部件同步工作,来自单片机系统的频率控制字K控制相位

13、累加器的累加次数,从而改变输出频率的高低及其相位大小。Nbits相位累加器正弦波形查 找 表NCODACLPFK 输出 参考频率源图1.1 DDS结构原理图相位累加器由位加法器与位累加寄存器级联构成。每来一个时钟脉冲,加法器将频率控制字与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的

14、数据就是合成信号的相位,相位累加器的溢出频率就是输出的信号频率。 用相位累加器输出的数据作为波形存储器()的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到转换器,转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。 在相对带宽、频率转换时间、高分辨力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。下面我们讨论DDS输出频率的推导: 一个频谱纯净的单频信号可表示为: (1.1)只要幅度U和初始相位不随时间变化,是常数,它的频谱就是位于的一条谱线。这里为说明问题方便起见,我们令U=1,=0,即 (1.2)这种单频信号的主要特性是它的相位是时间的线形函数,即 (1.3)相位函数对时间的倒数就常数 (1.4)这就是信号的频率。由式(1-3),(1-4)可知,相位函数是一条直线,它的斜率就是信号的频率。如果对式(1.2)信号进行采样,采样周期为(即采样频率),则可得到离散的波形序列 (n=0,1,2,) (1.5)相应的离散相位序列 (n=0,1,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号