项目二一位十进制加法计算器的逻辑电路设计与制作

上传人:油条 文档编号:1625852 上传时间:2017-06-29 格式:PPT 页数:98 大小:2.10MB
返回 下载 相关 举报
项目二一位十进制加法计算器的逻辑电路设计与制作_第1页
第1页 / 共98页
项目二一位十进制加法计算器的逻辑电路设计与制作_第2页
第2页 / 共98页
项目二一位十进制加法计算器的逻辑电路设计与制作_第3页
第3页 / 共98页
项目二一位十进制加法计算器的逻辑电路设计与制作_第4页
第4页 / 共98页
项目二一位十进制加法计算器的逻辑电路设计与制作_第5页
第5页 / 共98页
点击查看更多>>
资源描述

《项目二一位十进制加法计算器的逻辑电路设计与制作》由会员分享,可在线阅读,更多相关《项目二一位十进制加法计算器的逻辑电路设计与制作(98页珍藏版)》请在金锄头文库上搜索。

1、项目二 一位十进制加法计算器的逻辑电路设计与制作,任务一 BCD编码器的逻辑电路设计与制作任务二 译码器的逻辑电路设计与制作任务三 一位十进制加法器的逻辑电路的设计和制作仿真演练一 七段数码管显示电路仿真演练二 全加器,任务一 BCD编码器的逻辑电路设计与制作,【技能目标】能设计并制作BCD码编码器。【知识目标】掌握编码技术。【实践活动】1.实践活动内容BCD编码器实验。,下一页,任务一 BCD编码器的逻辑电路设计与制作,【实践活动】2.实践活动任务描述在电路输入端按下代表09的任何一个按钮开关,在输出端,LED就会显示与该十进制数对应的二进制数值。任何时刻只允许输入一个有效信号。3.实践活动

2、要求要求每人用逻辑门设计BCD编码器的控制电路,并在焊接板(或面包板)上将设计的电路搭建起来,然后调试并实现所要求的功能。4.实践仪器与元件万用表、电烙铁、按钮开关10只,红色LED4只,集成逻辑门若干,电阻、电容若干,导线,焊接板(或面包板),焊锡丝,下一页,上一页,任务一 BCD编码器的逻辑电路设计与制作,【实践活动】5.活动提示(1)LED正、负板间加正向电压时导通,一般电压大于2V时发光,不加电压或加反向电压时不导通,LED不亮,应与LED串联一1001000 的电阻保护LED不致因过流而损坏。(2)10个按钮开关代表09这10个十进制数,输出4个信号控制4个LED的显示。(3)此设计

3、为组合逻辑,用集成逻辑门实现,设计方法可见后面的知识链接。,下一页,上一页,任务一 BCD编码器的逻辑电路设计与制作,【知识链接:编码器的基本知识】按照被编码信号的不同特点和要求,有二进制编码器、二-十进制编码器、优先编码器之分。一下着重介绍二进制编码器和BCD编码器。1.二进制编码器用n位二进制代码对N=2n个一般信号进行编码的电路,叫做二进制编码器。这种编码器有一个特点:任何时刻只允许输入一个有效信号,不允许同时出现两个或两个以上的有效信号,因而其输入是一组互相排斥的变量。观以3位二进制编码器为例,分析编码器的工作原理。图2-1所示是3位二进制编码的框图,它的输入是I0I7这8个高电平信号

4、,输出是3位二进制代码Y2、Y1、Y0.为此,又把它叫做8-3线编码器。输出与输入的对应关系如表2-1所示。,下一页,上一页,任务一 BCD编码器的逻辑电路设计与制作,【实践活动】根据以上真值表,无需绘制卡诺图,可以直接推导8-3线编码器的逻辑表达式。根据以上逻辑表达式可以绘制出8-3线编码器的逻辑电路。如图2-2。,下一页,上一页,任务一 BCD编码器的逻辑电路设计与制作,【实践活动】2.BCD编码器将十进制数09这10个信号编成二进制代码的电路叫二-十进制BCD编码器。它和二进制编码器特点一样,任何时刻只允许输入一个有效信号。本项目的任务是要实现一个十进制8421BCD编码器,因输入变量相

5、互排斥,可直接列出编码表如表2-2所示。将表中各位输出码为1的相应输入变量相加,便可得到编码器的各输出表达式:根据以上逻辑表达式可以绘制出8421BCD码编码器的逻辑电路,如图2-3所示。,上一页,返 回,任务二 译码器逻辑电路设计与制作,【技能目标】能设计并使用译码器。【知识目标】(1)掌握编码技术。(2)掌握集成LED译码器的使用方法。,下一页,任务二 译码器逻辑电路设计与制作,【实践活动】1.实践活动任务描述设计制作二-十进制译码显示电路:以七段显示译码器74LS48为核心制作十进制数译码显示电路,电路的输入端是4个74LS48连接的按钮开关,通过这4个按钮开关输入一个4位二进制数,这个

6、二进制数经74LS48译码后驱动后端的LED数码管显示对应的十进制数字。2.实践活动要求要求每人用74LS48译码器设计二-十进制译码显示电路,并在焊接板(或面包板)上将设计的电路搭建起来,然后调试并实现所要求的功能。,下一页,上一页,任务二 译码器逻辑电路设计与制作,【实践活动】3.实践仪器与元件万用表、电烙铁、七段显示译码器74LS48一片,按钮开关4只,LED4数码管1个,电阻、电容若干,导线,焊接板(或面包板),焊锡丝4.活动提示(1)务必详细阅读74LS48芯片说明书,正确连接按钮开关和数码管。(2)此设计为组合逻辑,用集成逻辑电路实现,设计方法可见后面的知识链接。,下一页,上一页,

7、任务二 译码器逻辑电路设计与制作,【知识链接1:译码器的基本知识】译码是编码的逆过程,它的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。1.二-十进制译码器二-十进制译码器(见图2-4)是最基本的二进制译码器,它有4个输入端,需要译码的4位二进制代码从这里并行输入;有10个译码输出端。功能是将4位并行输入的二进制代码,根据译码要求,选择10输出中的一个输出译码信息。表2-3所示为二-十进制译码器的真值表。,下一页,上一页,任务二 译码器逻辑电路设计与制作,【知识链接1:译码器的基本知识】由真值表可以推导出二-十进制译码器的逻辑表达式:根据以上逻辑表

8、达式,可以绘制出二-十进制译码器的逻辑电路,如图2-5所示。,下一页,上一页,任务二 译码器逻辑电路设计与制作,【知识链接1:译码器的基本知识】2.三线-八线译码器功能是将3位并行输入的二进制代码,根据译码要求,选择8个输出中的一个输出译码信息。典型的三线-八线译码器是74LS138.74LS138有3个地址输入端A、B、C,它们共有8种状态的组合,即可译出8个输出信号Y0Y7。74LS138的引脚排列见图2-6,功能表见表2-4。可用两片38线译码器74LS138构成4-16线译码器,其具体连接如图2-7所示。,下一页,上一页,任务二 译码器逻辑电路设计与制作,【知识链接2:LED数码管及其

9、驱动电路】LED数码管(也称发光二极管数码管)是用显示数字、文字和符号的常用器件。如图2-8所示。LED数码管根据管内PN结的连接方式不同,可分为共阴数码管和共阳数码管两种。共阴数码管就是管内所有PN结的阴极都连在一起,如图2-9(a)所示。使用时应将共阴端接低电平,阳极接显示译码器的哥哥输出端。共阳数码管就是管内所有PN结的阳极都连在一起,如图2-9(b)所示。使用时应将共阳端接高电平,阴极接显示译码器的各个输出端。,下一页,上一页,任务二 译码器逻辑电路设计与制作,【知识链接3:集成LED译码器】集成LED译码器的功能是将输入的BCD码经过译码后,驱动LED数码管显示相应的十进制数。1.7

10、4LS4874LS48是一种与共阴极数码管配合使用的字符显示译码器,逻辑符号如图2-10所示。74LS48功能表如表2-5所示。图2-11所示是LED七段显示器和译码驱动电路连接实例。,下一页,上一页,任务二 译码器逻辑电路设计与制作,【知识链接3:集成LED译码器】2.CD4511CD4511是一个用于驱动共阴极LED(数码管)显示器的BCD码-七段码译码器,它具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流,可直接驱动LED显示器。图2-12描述了CD5411的引脚设置及其与LED连接的方法。其真值表见表2-6。,上一页,返 回,任务三 一位十进制加法器的

11、逻辑电路设计与制作,【技能目标】能设计并制作一个一位十进制加法器。【知识目标】(1)掌握加法器的相关知识。(2)掌握组合逻辑的设计方法。,下一页,任务三 一位十进制加法器的逻辑电路设计与制作,【实践活动】1.实践活动任务描述任务的核心是设计制作一个十进制加法器,实现个位十进制加法功能,输入为两组十进制数,输出为输入数据相加的值(不含进位位)。任务原理见图2-13.2.实践活动要求要求每人用逻辑门设计一位十进制加法器电路,并在焊接板(或面包板)上将设计的电路搭建起来,调试并实现所要求的功能。,下一页,上一页,任务三 一位十进制加法器的逻辑电路设计与制作,【实践活动】3.实践仪器与元件万用表、电烙

12、铁、按钮开关若干,七段显示译码器74LS48一片,LED数码管一个,集成逻辑门若干,电阻、电容若干,导线,焊接板(或面包板),焊锡丝4.活动提示(1)为保证课堂效率,建议学生将前两次任务中制作的电路保留并在此次任务中直接使用。(2)此设计为组合逻辑,用集成逻辑门实现,设计方法可见后面的知识链接。,下一页,上一页,任务三 一位十进制加法器的逻辑电路设计与制作,【知识链接1:半加器与全加器的基本知识】1.一位加法器(1)半加器。半加器的真值表如表2-7所示。表中的A和B分别表示两个相加的一位二进制数,S是本位和,COUT是本位向高位的进位。由真值表可以直接写出以下函数表达式:半加器的逻辑符号和逻辑

13、图如图2-14所示。,下一页,上一页,任务三 一位十进制加法器的逻辑电路设计与制作,【知识链接1:半加器与全加器的基本知识】(2)全加器全加器的真值表如表2-8所示。表中的A和B分别表示两个相加的一位二进制数,Cin是来自低一位向本位的进位;S是本位和;Cout是本位向高一位的进位。由真值表可以推导出全加器的逻辑表达式:全加器的逻辑图和逻辑符号如图2-15所示。,下一页,上一页,任务三 一位十进制加法器的逻辑电路设计与制作,【知识链接1:半加器与全加器的基本知识】2.多位加法器根据电路结构的不同,常见的多位加法器分为串行进位加法器和超前进位加法器。N位串行进位加法器由n个一位加法器串联构成,图

14、2-16所示是一个4位串行进位加法器,用它可实现一位十进制数的加法。,下一页,上一页,任务三 一位十进制加法器的逻辑电路设计与制作,【知识链接2:数据选择器、数据分配器及数值比较器】1.数据选择器数据选择器又称多路选择器(Multiplexer,简称MUX),其原理框图如图2-17所示。常用的数据选择器有2选1、4选1、8选1、16选1等。图2-18所示是4选1数据选择器的逻辑图及逻辑符号。其功能表见表2-9。,下一页,上一页,任务三 一位十进制加法器的逻辑电路设计与制作,【知识链接2:数据选择器、数据分配器及数值比较器】2.数据分配器数据分配器又称多路分配器(DEMUX),其功能与数据选择器

15、相反,它可以将一路输入数据按n位地址分送到2n个数据输出端上。图2-19所示为2-4DEMUX的逻辑符号,其功能表如表2-10所示。前面学习的74LS138就可以实现数据分配器功能,如图2-20所示。,下一页,上一页,任务三 一位十进制加法器的逻辑电路设计与制作,【知识链接2:数据选择器、数据分配器及数值比较器】3.数值比较器数据比较器是用来判断输入数据大小的逻辑器件,数值比较器可以按位数分为一位数值比较器和多位数值比较器,首先简要介绍一位数值比较器的功能和特点。其真值表如表2-11所示。由真值表可以得到下列逻辑表达式:根据上面的表达式可画出如图2-21所示的逻辑电路图。,上一页,返 回,仿真

16、演练一 七段数码管显示电路,【技能目标】(1)学会用字信号发生器。(2)学会编码七段数码管。(3)进一步会用逻辑分析仪。【知识目标】(1)掌握数码管的编码方法。(2)掌握字信号发生器的使用方法。,下一页,仿真演练一 七段数码管显示电路,【实践活动】七段数码管显示仿真(1)搭接如图2-22所示的数码管显示电路。(2)在字信号发生器中选择模式设置(Pattern)下的左移编码(Shift Left),运行电路,观察数码管的变化,记录各字段对应的信号端口。(3)根据字段信息,对七段数码管进行编码,使之输出字符09,并将编码填入表2-12中。将编码在字信号模型编辑区Edit处输入,送到字信号发生器的县显示区显示出来,如图2-23所示。字信号发生器设置运行的起始地址为0000、结束地址为0009;再以单调期(Burst)或循环(Cycle)方式运行电路,观察电路运行结果,并用逻辑分析仪验证电路,波形如图2-24所示。,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号