集成电路设计北京大学培训课件

上传人:日度 文档编号:149738117 上传时间:2020-10-29 格式:PPT 页数:75 大小:731KB
返回 下载 相关 举报
集成电路设计北京大学培训课件_第1页
第1页 / 共75页
集成电路设计北京大学培训课件_第2页
第2页 / 共75页
集成电路设计北京大学培训课件_第3页
第3页 / 共75页
集成电路设计北京大学培训课件_第4页
第4页 / 共75页
集成电路设计北京大学培训课件_第5页
第5页 / 共75页
点击查看更多>>
资源描述

《集成电路设计北京大学培训课件》由会员分享,可在线阅读,更多相关《集成电路设计北京大学培训课件(75页珍藏版)》请在金锄头文库上搜索。

1、1,集成电路设计北京大学,2,集成电路设计与制造的主要流程框架,3,集成电路的设计过程: 设计创意 + 仿真验证,集成电路芯片设计过程框架,From 吉利久教授,是,功能要求,行为设计(VHDL),行为仿真,综合、优化网表,时序仿真,布局布线版图,后仿真,否,是,否,否,是,Sing off,设计业,4,引 言,半导体器件物理基础:包括PN结的物理机制、双极管、MOS管的工作原理等 器件 小规模电路 大规模电路 超大规模电路 甚大规模电路 电路的制备工艺:光刻、刻蚀、氧化、离子注入、扩散、化学气相淀积、金属蒸发或溅射、封装等工序 集成电路设计:另一重要环节,最能反映人的能动性 结合具体的电路,

2、具体的系统,设计出各种各样的电路,掌握正确的设计方法,可以以不变应万变,随着电路规模的增大,计算机辅助设计手段在集成电路设计中起着越来越重要的作用,5,引 言,什么是集成电路?(相对分立器件组成的电路而言) 把组成电路的元件、器件以及相互间的连线放在单个芯片上,整个电路就在这个芯片上,把这个芯片放到管壳中进行封装,电路与外部的连接靠引脚完成。 什么是集成电路设计? 根据电路功能和性能的要求,在正确选择系统配置、电路形式、器件结构、工艺方案和设计规则的情况下,尽量减小芯片面积,降低设计成本,缩短设计周期,以保证全局优化,设计出满足要求的集成电路。,6,设计的基本过程 (举例) 功能设计 逻辑和电

3、路设计 版图设计 集成电路设计的最终输出是掩膜版图,通过制版和工艺流片可以得到所需的集成电路。 设计与制备之间的接口:版图,7,主要内容,IC设计特点及设计信息描述 典型设计流程 典型的布图设计方法及可测性设计技术,8,设计特点和设计信息描述,设计特点(与分立电路相比) 对设计正确性提出更为严格的要求 测试问题 版图设计:布局布线 分层分级设计(Hierarchical design)和模块化设计 高度复杂电路系统的要求 什么是分层分级设计? 将一个复杂的集成电路系统的设计问题分解为复杂性较低的设计级别,这个级别可以再分解到复杂性更低的设计级别;这样的分解一直继续到使最终的设计级别的复杂性足够

4、低,也就是说,能相当容易地由这一级设计出的单元逐级组织起复杂的系统。一般来说,级别越高,抽象程度越高;级别越低,细节越具体,9,从层次和域表示分层分级设计思想,域:行为域:集成电路的功能 结构域:集成电路的逻辑和电路组成 物理域:集成电路掩膜版的几何特性和物理特性的具体实现 层次:系统级、算法级、寄存器传输级(也称RTL级)、 逻辑级与电路级,10,11,12,设计信息描述,举例:x=ab+ab;CMOS与非门;CMOS反相器版图,13,什么是版图?一组相互套合的图形,各层版图相应于不同的工艺步骤,每一层版图用不同的图案来表示。 版图与所采用的制备工艺紧密相关,14,设计流程,理想的设计流程(

5、自顶向下:TOP-DOWN) 系统功能设计,逻辑和电路设计,版图设计 硅编译器 silicon compiler (算法级、RTL级向下) 门阵列、标准单元阵列等,15,典型的实际设计流程,需要较多的人工干预 某些设计阶段无自动设计软件,通过模拟分析软件来完成设计 各级设计需要验证,16,典型的实际设计流程,1、系统功能设计 目标:实现系统功能,满足基本性能要求 过程:功能块划分,RTL级描述,行为仿真 功能块划分 RTL级描述(RTL级VHDL、Verilog) RTL级行为仿真:总体功能和时序是否正确,17,功能块划分原则: 既要使功能块之间的连线尽可能地少,接口清晰,又要求功能块规模合理

6、,便于各个功能块各自独立设计。同时在功能块最大规模的选择时要考虑设计软件可处理的设计级别,18,算法级:包含算法级综合:将算法级描述转换到 RTL级描述 综 合: 通过附加一定的约束条件从高一级设 计层次直接转换到低一级设计层次的过程 逻辑级:较小规模电路,19,实际设计流程,系统功能设计 输出:语言或功能图 软件支持:多目标多约束条件优化问题 无自动设计软件 仿真软件:VHDL仿真器、Verilog仿真器,20,实际设计流程,2、逻辑和电路设计 概念:确定满足一定逻辑或电路功能的由逻辑或电路单元组成的逻辑或电路结构 过程: A.数字电路:RTL级描述 逻辑综合(Synopsys,Ambit)

7、 逻辑网表 逻辑模拟与验证,时序分析和优化 难以综合的:人工设计后进行原理图输入,再进行 逻辑模拟,21,电路实现(包括满足电路性能要求的电路结构和元件参数):调用单元库完成; 没有单元库支持:对各单元进行电路设计,通过电路模拟与分析,预测电路的直流、交流、瞬态等特性,之后再根据模拟结果反复修改器件参数,直到获得满意的结果。由此可形成用户自己的单元库,22,单元库:一组单元电路的集合 经过优化设计、并通过设计规则检查和反复工艺验证,能正确反映所需的逻辑和电路功能以及性能,适合于工艺制备,可达到最大的成品率。 元件 门 元胞 宏单元(功能块) 基于单元库的描述:层次描述 单元库可由厂家提供,可由

8、用户自行建立,23,B. 模拟电路:尚无良好的综合软件 RTL级仿真通过后,根据经验进行电路设计 逻辑和电路设计的输出:网表(元件及其连接关系)或逻辑图、电路图 软件支持:逻辑综合、逻辑模拟、电路模拟、时序分析等软件 (EDA软件系统中已集成),电路模拟与验证,原理图输入 模拟单元库,24,实际设计流程,3. 版图设计 概念:根据逻辑与电路功能和性能要求以及工艺水平要求来设计光刻用的掩膜版图, IC设计的最终输出。 什么是版图?一组相互套合的图形,各层版图相应于不同的工艺步骤,每一层版图用不同的图案来表示。 版图与所采用的制备工艺紧密相关,25,版图设计过程:由底向上过程 主要是布局布线过程

9、布局:将模块安置在芯片的适当位置,满足一定目标函数。对级别最低的功能块,是指根据连接关系,确定各单元的位置,级别高一些的,是分配较低级别功能块的位置,使芯片面积尽量小。 布线:根据电路的连接关系(连接表)在指定区域(面积、形状、层次)百分之百完成连线。布线均匀,优化连线长度、保证布通率。,26,版图设计过程 大多数基于单元库实现 (1)软件自动转换到版图,可人工调整(规则芯片) (2)布图规划(floor planning)工具 布局布线工具(place&route) 布图规划:在一定约束条件下对设计进行物理划分,并初步确定芯片面积和形状、单元区位置、功能块的面积形状和相对位置、I/O位置,产

10、生布线网格,还可以规划电源、地线以及数据通道分布 (3)全人工版图设计:人工布图规划,提取单元, 人工布局布线(由底向上: 小功能块到大功能块),27,人工版图设计典型过程,28,版图验证与检查 DRC:几何设计规则检查 ERC:电学规则检查 LVS:网表一致性检查 POSTSIM:后仿真(提取实际版图参数、电阻、电容,生成带寄生量的器件级网表,进行开关级逻辑模拟或电路模拟,以验证设计出的电路功能的正确性和时序性能等),产生测试向量 软件支持:成熟的CAD工具用于版图编辑、人机交互式布局布线、自动布局布线以及版图检查和验证,29,设计规则 IC设计与工艺制备之间的接口 制定目的:使芯片尺寸在尽

11、可能小的前提下,避免线条宽度的偏差和不同层版套准偏差可能带来的问题,尽可能地提高电路制备的成品率 什么是设计规则?考虑器件在正常工作的条件下,根据实际工艺水平(包括光刻特性、刻蚀能力、对准容差等)和成品率要求,给出的一组同一工艺层及不同工艺层之间几何尺寸的限制,主要包括线宽、间距、覆盖、露头、凹口、面积等规则,分别给出它们的最小值,以防止掩膜图形的断裂、连接和一些不良物理效应的出现。,30,设计规则的表示方法 以为单位:把大多数尺寸(覆盖,出头等等)约定为的倍数 与工艺线所具有的工艺分辨率有关,线宽偏离理想特征尺寸的上限以及掩膜版之间的最大套准偏差,一般等于栅长度的一半。 优点:版图设计独立于

12、工艺和实际尺寸 举例: 以微米为单位:每个尺寸之间没有必然的比例关系, 提高每一尺寸的合理度;简化度不高 举例:,31,32,33,IC设计流程视具体系统而定 随着 IC CAD系统的发展,IC设计更侧重系统设计 正向设计,逆向设计 SoC: IP(Intelligent Proprietary) 库(优化设计) 软核:行为级描述 firm IP: 门级 hard IP:版图级, D/A A/D DRAM,优化的深亚微米电路等 IC设计与电路制备相对独立的新模式 Foundry的出现,34,VDSM电路设计对设计流程的影响,35,VDSM电路设计对设计流程的影响,时序问题突出,互连延迟超过门延

13、迟,逻辑设计用的互连延迟模型与实际互连延迟特性不一致,通过逻辑设计的时序在布局布线后不符合要求。 在逻辑设计阶段加入物理设计的数据 综合优化中的关键路径以SDF格式传给布图规划,初步的连线延迟再传给综合优化工具(以PDEF格式) 布局后将更精确的互连信息通过FLOORPLAN TOOL传给综合优化工具,进行布局迭代 时延驱动布线,完成后进行延迟计算和时序分析,布线迭代,36,VDSM电路设计对设计流程的影响,布图时面向互连,先布互连网,再布模块 集成度提高: 可重用(REUSE)模块 IP模块 针对各 IP模块和其他模块进行布图规划,如何对IP模块等已设计好的模块进行处理 功耗问题,尤其高层次

14、设计中考虑 布图中寄生参数提取变成三维问题,37,布图设计方法(布图风格划分),全定制设计方法、半定制设计方法、可编程逻辑器件以及基于这些方法的兼容设计方法 设计方法选取的主要依据:设计周期、设计成本、芯片成本、芯片尺寸、设计灵活性、保密性和可靠性等 最主要的:设计成本在芯片成本中所占比例 芯片成本CT:,小批量的产品:减小设计费用; 大批量的产品:提高工艺水平,减小芯片尺寸,增大圆片面积,38,全定制设计,版图设计时采用人工设计,对每个器件进行优化,芯片性能获得最佳,芯片尺寸最小 设计周期长,设计成本高,适用于性能要求极高或批量很大的产品,模拟电路 符号式版图设计:用一组事先定义好的符号来表

15、示版图中不同层版之间的信息,通过自动转换程序转换 举例:棍图:棍形符号、不同颜色 不必考虑设计规则的要求;设计灵活性大 符号间距不固定,进行版图压缩,减小芯片面积,39,V,DD,V,ss,40,专用集成电路(ASIC:Application-Specific Integrated Circuit)(相对通用电路而言) 针对某一应用或某一客户的特殊要求设计的集成电路 批量小、单片功能强:降低设计开发费用 主要的ASIC设计方法: 门阵列设计方法:半定制 标准单元设计方法:定制 掩膜版方法 积木块设计方法:定制 可编程逻辑器件设计方法,41,门阵列设计方法(GA方法),概念:形状和尺寸完全相同的单元排列成阵列,每个单元内部含有若干器件,单元之间留有布线通道,通道宽度和位置固定,并预先完成接触孔和连线以外的芯片加工步骤,形成母片 根据不同的应用,设计出不同的接触孔版和金属连线版,单元内部连线及单元间连线实现所需电路功能 母片半定制技术,42,门阵列结构,单元区结构: 举例:六管CMOS单元 由该结构实现三输入或非门 输入/输出单元:芯片四周 举例:图5.16,输入、输出、电源 输入保护(防止栅击穿):嵌位二极管、保护电阻 输出驱动:宽长比大的器件(梳状或马蹄状),43,寄存器传输,级行为

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 专业基础教材

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号