(精选)流水灯VHDL

上传人:日度 文档编号:148263901 上传时间:2020-10-17 格式:DOC 页数:6 大小:50.50KB
返回 下载 相关 举报
(精选)流水灯VHDL_第1页
第1页 / 共6页
(精选)流水灯VHDL_第2页
第2页 / 共6页
(精选)流水灯VHDL_第3页
第3页 / 共6页
(精选)流水灯VHDL_第4页
第4页 / 共6页
(精选)流水灯VHDL_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《(精选)流水灯VHDL》由会员分享,可在线阅读,更多相关《(精选)流水灯VHDL(6页珍藏版)》请在金锄头文库上搜索。

1、基于VHDL流水灯的设计电子信息科学与技术 刘敏 何磊 成江波一、 设计内容流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。要求采用可编程逻辑器件实现一个流水灯控制电路,12个LED灯能连续发出4种不同的流水显示形式。彩灯控制器的第1种花样为彩灯按顺时针方向逐次点亮;第2种花样为彩灯按逆时针方向逐次点亮,然后全灭全亮;第3种花样为彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开;第4种花样为彩灯连续交叉闪烁。多个花样自动

2、变换,循环往复。二、 设计方案彩灯是由FPGA板上的LED灯代替,有以下4种闪烁效果1. 彩灯按顺时针方向逐次点亮。2. 彩灯按逆时针方向逐次点亮,然后全灭全亮。3. 彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开。4. 彩灯连续交叉闪烁。本控制电路采用VHDL语言设计。运用自顶而下的设计思想,按功能逐层分割实现层次化设计。根据多路彩灯控制器的设计原理,分别对应彩灯的四种变化模式、利用VHDL语言实现该功能.三、 系统框图脉冲信号顺序脉冲译码逻辑电路流水灯闪烁四、 流水灯设计程序library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.ST

3、D_LOGIC_unsigned.all;entity led1 is port( sysclk: in std_logic; dout: out std_logic_vector(11 downto 0) );end led1;architecture jgt of led1 issignal cnt : std_logic_vector ( 7 downto 0);-variable count:integer RANGE 0 TO 7;signal count : std_logic_vector(5 DOWNTO 0); beginprocess(sysclk)begin if ( s

4、ysclkevent and sysclk = 1) thencnt = cnt + 1;if (cnt = 11110111) thencnt = 00000000 ;count dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout

5、 dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout null;end case;end process;end jgt;五、 系统仿真与调试1.仿真波形图通过QuartusII软件,我们进行了仿真,其仿真波形如下图:图一:仿真波形图由设计要求可知,本设计要求采用可编程逻辑器件实现一个流水灯控制电路,12个LED灯能连续发出4种不同的流水显示形式。彩灯控制器的第1种花样为彩灯按顺时针方向逐次点亮;第2种花样为彩灯按逆时针方向逐次

6、点亮,然后全灭全亮;第3种花样为彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开;第4种花样为彩灯连续交叉闪烁。多个花样自动变换,循环往复。从仿真的波形可以看出,实现了相应的功能。2.电路原理图在QuartusII软件中利用硬件描述语言描述电路后,用RTL Viewers生成的对应的电路图如下所示:图二:用RTL Viewers生成的电路图六、设计总结通过本次设计明白了VHDL语言的实用性,同时对QuartusII有了进一步的了解。虽然在设计过程种遇到了许多麻烦,比如语言编写的错误,思路想法的偏离.但通过问同学,老师,以及上网了解后最终还是纠正了这些错误。不过通过不断的检查和咨询,最

7、终还是编写成功了。也通过本次彩灯设计让我知道了日常生活种各种花样灯的工作模式,希望能够通过接下来的学习,自己能够设计出生活中实用的样式灯。通过这次课程设计,可以很好的把各个章节的模块融合到一起,对以后的学习,设计很有帮助,感觉自己在动手操作方面有质上的飞跃。不仅掌握QuartusII软件的使用,与此同时,还对电子设计的思路有了更多的认识。通过对EDA设计中的TOP-DOWN设计方式的运用,体会到了对于一个大型系统的设计方案选取应从顶向下的设计思路,这与传统的至底向上的设计方式有很大改进,且设计效率得到大大提高。通过这次的实验,理解了电子技术设计的设计多种方法和流程,夯实了QuartusII的操作流程。很显然,任何的实践活动,都不可能闭门造车,是必须去吸取前人的实践经验,这就要求在课程设计的过程中,从网络上,从图书馆,借寻相关资料书籍等,有力地指导课程设计。这就要求在最后的大学时间里,要继续夯实相关的理论知识,继续多动手操作,提高具体的实践操作能力,为即将毕业的工作出路,做好充分的准备。七、参考文献1 许飞.EDA

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号