基于FPGA的LCD设计(详细阅读第5章和第6章)

上传人:日度 文档编号:147921322 上传时间:2020-10-14 格式:DOC 页数:65 大小:4.60MB
返回 下载 相关 举报
基于FPGA的LCD设计(详细阅读第5章和第6章)_第1页
第1页 / 共65页
基于FPGA的LCD设计(详细阅读第5章和第6章)_第2页
第2页 / 共65页
基于FPGA的LCD设计(详细阅读第5章和第6章)_第3页
第3页 / 共65页
基于FPGA的LCD设计(详细阅读第5章和第6章)_第4页
第4页 / 共65页
基于FPGA的LCD设计(详细阅读第5章和第6章)_第5页
第5页 / 共65页
点击查看更多>>
资源描述

《基于FPGA的LCD设计(详细阅读第5章和第6章)》由会员分享,可在线阅读,更多相关《基于FPGA的LCD设计(详细阅读第5章和第6章)(65页珍藏版)》请在金锄头文库上搜索。

1、本 科 毕 业 设 计( 2009 届)题目基于FPGA的LCD控制器设计学院专业班级学号学生姓名指导教师完成日期年月日基于FPGA的LCD控制器设计【摘要】本课题主要任务是设计基于FPGA的LCD控制器,兼顾好程序的易用性,以方便之后模块的移植和应用。本课题的设计采用了带ST7920驱动的12864-12液晶模块,并使用Altera公司的cycloneII系列的EP2C5T144C8来作为核心的控制器。控制器部分采用VHDL语言编写,主体程序采用了状态机作为主要控制方式。该控制器分为字符显示模块和图片显示模块两个主要部分。在字符的显示功能上采用显示控制模块与字符调用RAM相结合的方式,使使用

2、者能方便地调用液晶自带的字库来显示字符;而图片显示模块则通过特殊的处理算法解决了图像显示缓冲区X地址不断移位以及上下半屏分开的问题,通过读取图片ROM来显示图片。最后实现使用FPGA在LCD上的任意位置显示任意的16*16像素的中文字符以及16*8的英文字符,另外要能根据输入数据的变化同步变化LCD上显示的内容。同时要能将储存模块中的图片数据正常地显示在LCD上。该课题的研究将有助于采用FPGA的系列产品的开发,特别是需要用到LCD的产品的开发。同时可以大大缩短FPGA的开发时间。另外,由于模块的易用性,也将使得更多的采用FPGA的产品之上出现LCD,增加人机之间的交互性,为行业和我们的生活带

3、来新的变化。【关键词】12864-12,VHDL,LCD,FPGA,状态机Design of the LCD Control Module Based on FPGA【Abstract】In this project, the main object is to design a LCD controller based on FPGA, and at the same time emphasize on the convenience for the later application and migration. In this project, I used 12864-12 LCD m

4、odule with the ST7920 driver. For the controller part, I chose the Cyclone II serial FPGA, the EP2C5T144C8, which developed by the Altera Cooperation. The program of the controller is written by VHDL language, and the main body of the program used state machine as the primary control method. In this

5、 LCD controller, there are two major parts, which are the character display module and the picture display module. The character display module put the Character Calling Ram and the display control module together to enable the user to call the embedded character library easily to display the inform

6、ation he/she needed. For the picture display module, the module, through specific algorithm, solved the problems that the x address of the picture display buffer kept stepping forward after every 16 times and the display screen are divided into 2 section by the buffer. And this module, by reading a

7、picture ram, displayed picture which was put earlier. In this project, I finally realized the following function. The first one is to display any 16*16 pixels Chinese character and 16*8 pixels English character on any position of the display screen. The second one is the display information will ins

8、tantaneously update as the input data changes. The last function is that any given picture data can be displayed properly on the LCD screen.The research of this project will contribute to the developing process of those products which use FPGAs, especially those products also use LCD. And at the sam

9、e time, it can reduce dramatically on the developing time. In addition, for the convenience of this controller, more and more FPGA based products will come out with LCD screen. This change will enhance the interaction between human and the machine, and bring innovation to the industry and our lives.

10、 【Key Words】12864-12,VHDL,LCD,FPGA,State Machine. 目录第1章 绪论51.1 选题的背景与意义51.2 LCD的控制,应用和市场的发展现状51.3 课题的主要研究内容和重点、难点71.3.1 本课题主要研究内容和重点71.3.2 本课题的主要难点:71.4 课题研究预期目标71.4.1 课题研究预期理论目标71.4.2 课题研究预期技术目标8第2章 现代LCD技术92.1 现代LCD技术简介92.2 STN-LCD技术的显示原理102.3 动态STN-LCD驱动方法11第3章 现代FPGA技术143.1 FPGA的发展历程143.2 FPGA的基

11、本原理153.2.1 查找表的基本原理153.2.2 基于乘积项的FPGA的逻辑实现原理153.3 FPGA的设计方法163.4 VHDL硬件描述语言163.5 Quartus II简介173.6 核心控制芯片选择183.7 FPGA的设计流程19第4章 总体系统设计及资源224.1 系统设计要求224.2 系统设计总体框图224.3 系统开发选用资源224.3.1 液晶模块选用224.3.2 FPGA的选择284.4 硬件设计30第5章 系统各部分模块的设计315.1 初始化模块设计315.1.1 LCD模块初始化原理315.1.2 时钟模块的设计325.1.3 字符显示前初始化模块的设计3

12、35.1.4 图片显示前初始化模块的设计365.2 写入数据模块的设计385.2.1 英文字符部分的数据模块385.2.2 中文字符部分的数据模块405.2.3 图片部分的数据模块415.3 显示控制模块的设计415.3.1 字符显示控制模块的设计415.3.2 动态数据的显示控制435.3.3 图像数据的显示控制445.4 字符显示及图片显示整体模块495.5 本章小结50第6章 模块测试与使用方法516.1 模块的使用方法516.1.1 字符显示模块的使用516.1.2 图片显示模块的使用526.2 测试内容536.2.1 字符显示部分的测试536.2.2图片显示部分的测试546.3 测试

13、结果576.3.1 字符显示576.3.2 图片显示586.4小结60结束语61参考文献62致谢63第1章 绪论1.1 选题的背景与意义液晶,是一种在一定温度范围内呈现既不同于固态、液态,又不同于气态的特殊物质态,它既具有各向异性的晶体所特有的双折射性,又具有液体的流动性。一般可分热致液晶和溶致液晶两类。在显示应用领域,使用的是热致液晶,超出一定温度范围,热致液晶就不再呈现液晶态,温度低了,出现结晶现象,温度升高了,就变成液体;液晶显示器件所标注的存储温度指的就是呈现液晶态的温度范围。液晶由于它的各向异性而具有的电光效应,尤其扭曲向列效应和超扭曲效应,所以能制成不同类型的显示器件(Liquid

14、 Crystal Display 简称LCD)。在平板显示器件领域,目前应用较广泛的有液晶(LCD)、电致发光显示(EL)、等离子体(PDP)、发光二极管(LED)、低压荧光显示器件(VFD)等。 液晶显示器件有以下一些特点 低压微功耗,平板型结构,被动显示型(无眩光,不刺激人眼,不会引起眼睛疲劳),显示信息量大(因为像素可以做得很小),易于彩色化(在色谱上可以非常准确的复现),无电磁辐射(对人体安全,利于信息保密),长寿命(这种器件几乎没有什么劣化问题,因此寿命极长,但是液晶背光寿命有限,不过背光部分可以更换)。之前,一般流行采用单片机来控制驱动LCD。采用单片机控制LCD的显示在设计上相对

15、比较简单。可以通过KEIL等软件的编写方便地控制LCD的图形以及字符的现实。但是由于单片机的顺序执行结构。决定了在现实图片或者字符的同时,单片机本身无法处理其他数据或者执行其他的运算命令。这在某种程度上大大地降低了工作的效率。而采用FPGA来控制LCD则不存在这个问题。但是由于FPGA不像单片机,可以直接使用控制语句来方便地控制LCD。因此需要编写大量的代码来控制LCD。因为这个原因,采用FPGA的设计一般都会再一次通过单片机来驱动LCD的显示。本课题主要任务是设计基于FPGA的LCD控制器,兼顾好程序的易用性,以方便之后模块的移植和应用。最后在FPGA上的任意位置显示任意的16*16D的中文字符以及16*8的英文字符,另外要能根据输入数据的变化同步变化LCD上显示的内容。同时要能将储存模块中的图片数据正常地显示在LCD上。该课题的研究将有助于采用FPGA的系列产品的开发,特别是需要用到LCD得采用FPGA的产品的开发。同时可以大大缩短FPGA的开发时间。另外,由于模块的易用性,也将使得更多

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号