基于fpga的智能温控风扇课件

上传人:我*** 文档编号:145754962 上传时间:2020-09-23 格式:PPT 页数:18 大小:1,022.50KB
返回 下载 相关 举报
基于fpga的智能温控风扇课件_第1页
第1页 / 共18页
基于fpga的智能温控风扇课件_第2页
第2页 / 共18页
基于fpga的智能温控风扇课件_第3页
第3页 / 共18页
基于fpga的智能温控风扇课件_第4页
第4页 / 共18页
基于fpga的智能温控风扇课件_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《基于fpga的智能温控风扇课件》由会员分享,可在线阅读,更多相关《基于fpga的智能温控风扇课件(18页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的智能风扇控制系统,基于FPGA的智能风扇控制系统,温控风扇在现代社会中的生产以及人们的日常生活中都有广泛的应用,如工业生产中大型机械散热系统中的风扇、现在笔记本电脑上的广泛应用的智能CPU风扇等。 该作品设计了基于Atmel CycloneIV 的智能温控风扇系统,利用多种传感器采集环境信息。系统实现了对室内温度等质量信息的采集、LCD显示、通信、上位机远程调节处理等功能,保证了室内空气质量检测数据的实时性、可靠性。,基于FPGA的智能风扇控制系统,该作品具有如下特点: (1)系统采用FPGA作为数据处理的主控器,具有可靠性高、维护、升级方便等特点; (2)同时采用智能手机作为上

2、位机,既利用了手机的便捷性及现阶段人们对手机的依赖性,又能够有效节约开发成本。 (3)除可以应用于智能家居外,还可以用于办公场所、蔬菜种植大棚、化工生产车间等需要对空气质量进行评价的场所。,基于FPGA的智能风扇控制系统 整体系统设计方案,系统硬件为Cyclone系列FPGA5开发平台,软件开发环境为Quartus II 13.0,采用硬件描述语言VHDL完成数据流描述。 主要由传感器数据采集模块、蓝牙通信模块、上位机界面显示控制模块、FPGA数据处理模块、液晶显示模块、调节机构等组成。 传感器数据采集模块包含温度传感器、烟雾传感器,其将采集到的温度、CO、CO2等数据信息传送给FPGA主控单

3、元,FPGA将获得的数据进行处理,送LCD液晶模块进行显示,同时通过蓝牙无线传输将信息发送给手机,用户可根据手机显示信息,通过手机界面控件对调节机构发出调节命令,调节机构将完成比如电风扇的开关、风速控制、室内室外空气交换等操作。,基于FPGA的智能风扇控制系统 整体系统设计方案,基于FPGA的智能风扇控制系统 电路模块设计,数据采集模块,基于FPGA的智能风扇控制系统 电路模块设计,数据采集模块pm2.5,基于FPGA的智能风扇控制系统 电路模块设计,FPGA数据处理模块设计,基于FPGA的智能风扇控制系统 电路模块设计,FPGA数据处理模块原理图,基于FPGA的智能风扇控制系统 电路模块设计

4、,通信模块 通信采用HC-06从机蓝牙模块,主要用于短距离的数据无线传输领域,可以方便的和PC机或带蓝牙功能的设备相连,也可以两个模块之间的数据互通。避免繁琐的线缆连接,能直接替代串口线,配对后只需当成固定波特率的串口一样使用即可。系统采用该蓝牙模块实现了手机终端和FPGA之间的通讯。,基于FPGA的智能风扇控制系统 电路模块设计,通信模块,蓝牙模块和FPGA通信采用串口方式,当没有数据采集和发送时,状态机处于idle(空闲)状态,当RXD接收信号引脚接收到低电平时,状态机转换至adjust状态并判断此信号是属于干扰信号还是起始位,如果是起始位,则状态进入receive状态(接收状态),否则转

5、回idle状态等待;当进入receive状态时,处理完一帧数据后将其并行输出,同时转至发送状态(trans),将数据发送给上位机,并转入idle状态,等待下一次传送。,基于FPGA的智能风扇控制系统 电路模块设计,上位机界面设计,基于FPGA的智能风扇控制系统 电路模块设计,步进电机驱动模块 (1)系统通过对步进电机转向的控制来驱动风扇、滤网等调节机构完 成室内外空气交换处理,实现改善室内空气质量的目的,步进电机的驱动电路采用硬件描述语言VHDL进行描述。 (2)本设计中将步距角设置为45度。脉冲的数量决定了旋转的总角度,脉冲的频率决定了电动机旋转的速度,改变绕组的通电顺序可以改变电机旋转的方

6、向。,基于FPGA的智能风扇控制系统 电路模块设计,步进电机驱动模块流程图,基于FPGA的智能风扇控制系统 电路模块设计,按键消抖模块 通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时伴随有一连串的抖动,为了防止这种抖动造成数据错误或误操作,设计了按键消抖模块。,基于FPGA的智能风扇控制系统 电路模块设计,按键消抖模块 p1:process(clk) variable a:integer range 0 to 250001:=0; variable b:std_logic; begin if rising_edge(clk) then if key=0 then if a=25000

7、0 then key_reg=1; a:=249999; else a:=a+1; end if; else key_reg=0; a:=0; end if; end if; end process; end architecture; clk为系统时钟(50MHz) key为按键输入信号S key_reg为按键有效标志位。,基于FPGA的智能风扇控制系统 系统调试与验证,系统在实验室条件下,基于FPGA开发平台(主芯片:EP4CE6F17C8N ),选用了CPU风扇及步进电机,搭建了相应的外围电路,完成了软硬件联合调试验证,验证结果表明,系统能够实现以下功能:,基于FPGA的智能风扇控制系统 整体实物图,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > PPT模板库 > PPT素材/模板

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号