交通灯控制器的EDA设计正文.doc

上传人:marr****208 文档编号:145089861 上传时间:2020-09-16 格式:DOC 页数:17 大小:309KB
返回 下载 相关 举报
交通灯控制器的EDA设计正文.doc_第1页
第1页 / 共17页
交通灯控制器的EDA设计正文.doc_第2页
第2页 / 共17页
交通灯控制器的EDA设计正文.doc_第3页
第3页 / 共17页
交通灯控制器的EDA设计正文.doc_第4页
第4页 / 共17页
交通灯控制器的EDA设计正文.doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《交通灯控制器的EDA设计正文.doc》由会员分享,可在线阅读,更多相关《交通灯控制器的EDA设计正文.doc(17页珍藏版)》请在金锄头文库上搜索。

1、目目 录录 引引 言言 .- - 1 1 - - 1 1 硬件电路介绍硬件电路介绍.- - 2 2 - - 1.1 EDA 技术介绍.- 2 - 1.2 交通灯控制器的基本要求.- 2 - 1.3 交通灯控制器的原理描述.- 3 - 1.4 交通灯控制器的基本方案.- 4 - 2 2 各单元电路设计各单元电路设计.- - 5 5 - - 2.1 计数器的设计.- 5 - 2.1.1 计数器的程序 .- 5 - 2.1.2 交通灯计数器波形图 .- 6 - 2.2 控制器的设计.- 6 - 2.2.1 控制器的程序 .- 7 - 2.2.2 交通灯控制器波形图 .- 8 - 2.3 分位器的设计

2、.- 9 - 2.3.1 分位电路程序 .- 9 - 2.3.2 分位译码电路波形图 .- 10 - 2.4 七段译码电路的设计.- 10 - 2.4.1 七段译码电路的程序 .- 10 - 2.4.2 七段译码电路的波形图 .- 11 - 2.5 交通灯控制器的顶层原理.- 12 - 总总 结结 .- - 1313 - - 致致 谢谢 .- - 1414 - - 参考文献参考文献 .- - 1515 - - 交通灯控制器的 EDA 设计 - 1 - 引引 言言 EDA 技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计 中的大部分工作,而且可以直接从程序中修改错误及系统功

3、能而不需要硬件电路的支持, 既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。 VHDL 英文全称为:Very High Speed Integrated Circuit Hardware Description Language,即超高速集成电路硬件描述语言。当今,在电子工程领域,VHDL 已经成为事 实上的通用硬件描述语言 VHDL 起源于 1983 年,1986 年美国电气和电子工程师协会(IEEE)开始工作,讨论 VHDL 标准。1987 年 12 月 IEEE 接受 VHDL 为标准 HDL,这就是 IEEE Std 1076- 1987(LTM87)。1993 年 IEE

4、E 对 VHDL 重新修订,增加了一些功能,公布了新标准版本 IEEE Std 1076-1993(LRM93)。严格的说,VHDL93 和 VHDL87 并不完全兼容 (VHDL93 从更高的抽象层次和系统描述能力上扩展了 VHDL 的内容。例如,增加了一些 保留字并删去了某些属性),但是,对 VHDL87 的源码只做少许简单的修改就可以成为 合法的 VHDL93 代码(BFMR93)。 VHDL 主要用于描述和设计复杂数字系统的结构、行为、功能和接口。在工程设计方 面有很多优点。首先,与其他的硬件描述语言相比,VHDL 描述能力更强,从而决定了它 成为系统设计领域最佳的硬件描述语言。其次,

5、VHDL 技术完备,具有丰富的仿真语句和 库函数。而且还支持同步电路、异步电路和其他电路的设计。再次,VHDL 设计方法灵活, 对设计的描述具有相对独立性。设计者可以不懂硬件结构,可以不管最终设计实现的目 标器件,而进行独立的设计。最后,VHDL 支持广泛,目前大多数 EDA 工具几乎都在不同 程度上支持 VHDL。 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器 PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持, 在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用 EDA 技术,应用 目前广泛应用的 VHDL 硬件电路描述语言

6、,实现交通灯系统控制器的设计,利用 MAXPLUS集成开发环境进行综合、仿真,并下载到 CPLD 可编程逻辑器件中,完成系统 的控制作用。 沈阳工程学院课程设计报告 - 2 - 1 硬件电路介绍 1.1 EDA 技术介绍 电子设计自动化技术是一种以计算机为基本工作平台,利用计算机图形学、拓扑逻 辑学、计算数学,以至人工智能学等多种计算机应用学科的成果开发出来的一整套软件 工具,是一种帮助电子设计工程师从事电子元件、产品和几桶设计的综合技术。EDA 技术 就是以微电子技术微物理成面,现代电子设计技术为灵魂,计算机软件为技术手段,最 终形成集成电子系统或集成电路为目的的一门新兴技术。由此可见,ED

7、A 技术的使用对象 由两大类人员组成。一类是专用集成电路 ASIC 的芯片设计研发人员;另一类是广大的电 子线路设计人员,他们不具备专门的集成电路(IC)深层次的知识。 EDA 技术包含以下特点:1,EDA 技术所用器件体积小巧、使用灵活、成本低,易于 真正产品化。组装各种智能式控制设备和仪器,能做到机电仪一体化。2,面向控制。能 有针对性地解决各种从简单到复杂的各类控制任务,因而能获得最佳的性能价格比。3, 抗干扰能力强,适应温度范围宽,在各种恶劣的环境下都能可靠的工作。这是其它微机 集中无法比拟的。4,可以方便的实现多机、分布式的集散控制,使整个控制系统的效率 大大地提高。5,EDA 技术

8、应用产品的研制周期短,所开发出来的样机就是以后批量生产 的产品,可以避免不必要的二次开发过程。 EDA 的应用非常广泛,比如说在工业方面,电机控制,工业机器人,过程控制,智能 传感器,机电仪一体化等都应用到了 EDA 技术。而仪器仪表方面、家用电器、电讯方面、 导航与控制方面、汽车方面、数据处理方面等多个方面也应用到了改技术。 1.2 交通灯控制器的基本要求 在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行 人有序地通行。其中,红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮,表示停车; 绿灯(G)亮,表示可以通行。倒计时显示器是用来显示允许通行或禁止通行时间。交通灯

9、控制器就是用来自动控制十字路口的交通灯和计时器,指挥各种车辆和行人安全通行。 (1)在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一方向(东 西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。 (2)设置一组数码管,以倒计时的显示方式允许通行或禁止通行的时间,其中绿灯、 黄灯、红灯的持续时间分别是 20s、5s、和 25s。 (3)当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先 放行的车辆通过时,各方向上均是红灯亮,倒计时停止,显示数字在闪烁。当特殊运行 状态结束后,控制器恢复原来状态,继续正常运行。 交通灯控制器的 EDA 设计 - 3 -

10、 (4)用两组数码管实现双向倒计时显示。 1.3 交通灯控制器的原理描述 交通灯控制器的核心范围是一个计数范围为 049 共(50 秒)的计数器和一个根据计 数值做出规定反映的控制器。另外,还需要输入 CLK 时钟信号。最后,要驱动七段数码 管,显然还需要一个译码电路。 图 1.3 交通灯控制器原理图 沈阳工程学院课程设计报告 - 4 - 1.4 交通灯控制器的基本方案 交通灯控制器的核心范围是一个计数范围为 049 共(50 秒)的计数器和一个根据计 数值做出规定反映的控制器。另外,作者所用的实验仪配备的晶振为 20MHZ,因此还需要 一个分频电路。最后,要驱动七段数码管,显然还需要一个译码

11、电路。 图 1.4 交通灯控制器系统框图 计数器 控制器 红,黄, 绿 发光二极 管 分位译码电路 七段数码管 倒计时数字及 “闪烁控制信 号” Hold Reset Clk1Hz Clk10Hz 交通灯控制器的 EDA 设计 - 5 - 2 各单元电路设计 2.1 计数器的设计 这里需要的计数器的计数范围为 049。计到 49 后,下一个时钟沿恢复到 0,开始下一 轮计数。此外,当检测到特殊情况(hold=1)发生时,计数器暂停计数,而系统恢复信 号则使计数器异步清 0。 2.1.1 计数器的程序 library ieee; use ieee.std_logic_1164.all; entity counter is port (clock:in std_logic; reset:in std_logic; hold:in std_logic; countnum: bu

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 其它相关文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号