08电子商务应用系统设计(结构化方法)精编版

上传人:ahu****ng1 文档编号:141909630 上传时间:2020-08-13 格式:PPTX 页数:132 大小:2.14MB
返回 下载 相关 举报
08电子商务应用系统设计(结构化方法)精编版_第1页
第1页 / 共132页
08电子商务应用系统设计(结构化方法)精编版_第2页
第2页 / 共132页
08电子商务应用系统设计(结构化方法)精编版_第3页
第3页 / 共132页
08电子商务应用系统设计(结构化方法)精编版_第4页
第4页 / 共132页
08电子商务应用系统设计(结构化方法)精编版_第5页
第5页 / 共132页
点击查看更多>>
资源描述

《08电子商务应用系统设计(结构化方法)精编版》由会员分享,可在线阅读,更多相关《08电子商务应用系统设计(结构化方法)精编版(132页珍藏版)》请在金锄头文库上搜索。

1、电子商务系统建设与管理,电子商务系统设计 应用系统设计,本部分内容,应用系统体系结构风格 总体设计 模块设计及子系统划分 数据流图导出结构图 详细设计 代码设计 计算机处理过程设计 数据库设计 用户界面设计 系统设计说明书,电子商务系统的一般体系框架,电子商务应用系统设计,应用系统中有些可以直接定制购买,比如ERP、SCM、CRM、办公、仓库管理、支付等系统,可以节约成本以及获得高性能的专业软件,有些需要自己开发,如网站系统等,电子商务应用系统设计,应用系统设计阶段要根据新系统逻辑模型建立应用系统的物理模型,也即根据新系统逻辑功能的要求,根据实际的技术条件、经济条件和社会条件,以及系统的规模和

2、复杂程度等实际条件,进行若干具体设计,确定应用系统的实施方案,解决系统“怎么做”的问题。 物理模型给出处理功能和数据结构的实际表示形式,应用系统设计基本任务,应用系统设计基本任务大体包括总体(概要)设计和详细设计两大部分。 总体设计是描述应用系统的总体结构,其内容有: 将系统划分成子系统,子系统进一步划分成模块 确定每个模块的功能 确定模块间调用关系,应用系统设计基本任务,详细设计是为各个具体任务选择适当的技术手段和处理方法,其内容有: 代码设计; 处理流程设计; 数据库设计; 用户界面设计。,应用系统体系结构风格,二层C/S体系结构:客户机负责信息系统的图形显示、数据录入和业务处理;服务器负

3、责对数据的存储和管理,客户机,数据库,服务器,请求,响应,应用系统体系结构风格,优点:实现了分布式计算,降低了服务器端的负载,对业务数据的集中式管理 缺点: 客户端程序设计复杂,程序臃肿 对硬件要求高,增加开发成本 软件维护和升级困难 难以扩展至大型企业和Internet 数据安全性不好,应用系统体系结构风格,三层体系结构(1)C/S/S:将应用逻辑置于应用服务器上,仅把表示层放在客户机上,底层是数据库服务器和数据库,数据库,应用系统体系结构风格,三层体系结构(2)B/W/S:客户端只有浏览器,仅负责与用户交互;Web服务器集成了应用系统、应用逻辑和控制;底层是数据库服务器和数据库,浏览器端,

4、基于Web的应用服务器,数据库服务器,数据库,应用系统体系结构风格,多层结构: 将B/W/S结构中Web应用服务器分为两部分,Web服务器和应用服务器,分别负责系统的表示逻辑和业务逻辑; 甚至在应用服务器和数据库服务器之间加一中间层(事务服务器),形成多层结构,应用系统体系结构风格,Web服务器,Web服务器,应用服务器,应用服务器,事务服务器,数据库服务器,数据库服务器,应用系统体系结构风格,多层结构的优点: 可实现高度的可伸缩性。 可增强整个系统的模块化程度,提高系统的灵活性。 可以使开发工作在具有不同专长的人员之间进行合理分工。便于分配适合的开发人员以完成相应的开发任务。 可以实现高度的

5、代码重用。,模块设计与子系统的划分,结构化设计原理 自顶向下把一个大系统分解成多个分层次的、规模比较小的被称之为模块的一些部分; 系统划分模块是按层次进行,划分工作进行到模块功能都十分简单,并易于修改; 模块应尽可能独立,应尽可能减少模块间的调用关系和数据交换关系; 模块间的关系应阐明,以便追踪和控制。 通过分别实现这些模块的设计,而最终实现整个系统的设计。,模块设计与子系统的划分,子系统的划分 划分原则: 子系统要具有相对独立性 子系统间的数据依赖性要尽量小,要将联系较多的模块都列入同一子系统内部 子系统划分应该便于系统分阶段的实现 子系统的划分应该考虑设备、信息等资源的充分利用,模块设计与

6、子系统的划分,功能/数据分析 进行这种划分的有力工具之一就是功能数据分析。 功能数据分析法是通过U/C矩阵的建立和分析来实现的。,模块设计与子系统的划分,用U/C图划分子系统 根据企业过程和数据类相对应的关系在U/C矩阵中相应的位置填写U或C,然后分别对数据类和企业过程进行调整,使U和C尽量集中在对角线附近 按照U和C密度最大原则用小矩形进行组织,同时使得小矩形能够排列在对角线上 对小矩形内表示的数据,在开发新系统时应放在该子系统所对应的设备上运行,矩形外的U所表示的数据应放在服务器上或通过网络进行传输,UC 矩阵的建立,模块设计与子系统的划分,表上移动作业过程,模块设计与子系统的划分,系统功

7、能划分,系统逻辑功能的划分: 在求解后的UC 矩阵中划出一个个的方块; 每一个小方块即为一个子系统。 划分时应注意: 沿对角线一个接一个地画,既不能重叠,又不能漏掉任何一个数据和功能; 小方块的划分是任意的,但必须将所有的 “C” 元素都包含在小方块内。,子系统划分,模块设计与子系统的划分,模块设计与子系统的划分,模块设计 模块(Module)是可以组合、分解和更换的单元,是组成系统、易于处理的基本单位。 模块设计主要描述系统的模块结构及模块间的联系,它是软件总体设计的主要内容。 模块设计的结果用模块结构图表示。 模块结构图又称层次模块结构图,模块设计与子系统的划分 -模块设计,模块通常有以下

8、个特点: 模块具有输入和输出; 具有把输入转换成输出的功能; 仅供模块引用的内部数据; 用以实现模块功能的程序代码。,模块结构图的基本符号,模块,调用,控制,数据,模块设计与子系统的划分,模块用矩形框表示,并用模块的名字标记。,模块设计与子系统的划分,模块的调用关系:模块之间用单向箭头联结,箭头从调用模块指向被调用模块,表示调用模块调用了被调用模块。,模块设计与子系统的划分,通讯: 伴随着模块调用而发生的模块间的信息交换称为模块间的通讯。 模块间的通讯有两种方式: 数据通讯 控制通讯,模块间的信息传递:当一个模块调用另一个模块时,调用模块把数据或控制信息传送给被调用模块,以使被调用模块能够运行

9、。 而被调用模块在执行过程中又把它产生的数据或控制信息回送给调用模块,模块设计与子系统的划分,例:“查找成功信号”就是控制信息,查职工档案,读人事文件,档案数据,职工号,无此职工,模块设计与子系统的划分,模块设计与子系统的划分,模块结构图可以描述的几个问题: 如何将系统划分成一个个模块 模块间传递什么数据 模块间组成层次的调用关系,模块设计与子系统的划分,模块间的调用关系有以下三种: 直接调用 条件调用 循环调用,模块设计与子系统的划分,直接调用: 最简单的调用关系,指一个模块无条件地调用另一个模块。,模块设计与子系统的划分,条件调用:也称为选择调用。如果一个模块是否调用另一个模块取决于调用模

10、块内部的某个条件,则把这种调用称为条件调用。用菱形符号 表示,其含义是:根据条件满足情况决定调用哪一个模块。,A,B,C,D,模块设计与子系统的划分,循环调用:也称为重复调用。如果一个模块内部存在一个循环过程,每次循环中均需调用一个或几个下属模块,则称这种调用为循环调用或重复调用。用符号 表示,含义是:上层模块对下层模块的多次反复的调用。,M,A,B,C,模块设计与子系统的划分,聚合 聚合是用于衡量模块内部各个组成部分彼此结合的紧密程度的指标。 模块的聚合方式,一般可分为七种,不同聚合方式其聚合度不同:,模块设计与子系统的划分-聚合, 功能聚合 顺序聚合 通讯聚合 过程聚合 时间聚合 逻辑聚合

11、 偶然聚合,尽量使用,尽量避免使用,模块设计与子系统的划分-聚合,功能聚合 指一个模块内部的各个组成部分的处理功能全部为执行同一个功能而存在,并且只执行一个功能。如:求平方根模块 功能聚合模块的优点是与其它模块联系低,易修改和维护,故其聚合度高 最理想的聚合方式,独立性最强。,模块设计与子系统的划分-聚合,顺序聚合:如果一个模块内部各个组成部分执行几个处理功能,且一个处理功能所产生的输出数据直接成为下一个处理功能的输入数据,则该种聚合为顺序聚合。,合同输入 汇总,一个顺序聚合模块,它先执行合同输入功能,然后再执行合同汇总功能,而且前者的输出正是后者的输入。,模块设计与子系统的划分-聚合,通讯聚

12、合:指一个模块内部各个组成部分执行的处理功能,都使用相同的输入数据或产生相同的输出数据,且其中各个处理功能是无序的。,配件处理,配件 编号,库存量,牌价,使用相同的输入数据,即配件编号,分别完成两个处理功能,一是按配件编号检索获得配件牌价;另一个是按配件编号检索获得该配件的当前库存量。,模块设计与子系统的划分-聚合,过程聚合:如果一个模块内部各个组成部分的处理功能各不相同,彼此也没有什么关系,但它们都受同一个控制流支配,决定它们的执行次序,则该种聚合为过程聚合。 在该种聚合模块中,效率是唯一重要的,这种次序可能是顺序、判断或循环。,模块设计与子系统的划分-聚合,时间聚合:如果一个模块内各个组成

13、部分的处理功能和时间有关,则称该种聚合为时间聚合。 例: 初始化模块是典型的时间聚合模块 初始模块要为各种变量赋初值,并同时打开若干个文件。,模块设计与子系统的划分-聚合,逻辑聚合:如果一个模块内部各个组成部分的处理功能彼此无关,但处理逻辑相同,则称该种聚合为逻辑聚合。 例: 将所有的输入操作:读磁带文件、读磁盘文件、接收键盘输入都放在一个模块中。,模块设计与子系统的划分-聚合,例:A、B、C均为输出报表模块,即从逻辑上讲它们是功能类似,将它们组合到一个模块中,则为逻辑组合。在调用逻辑组合的模块时,必须知道如何调用模块内部的某一报表模块。 逻辑组合模块和其他模块之间有相当复杂的块间耦合,其修改

14、性差,维护困难。,模块设计与子系统的划分-聚合,偶然聚合:机械聚合。如果一个模块的构成是由若干个毫无关系的功能偶然组合在一起的,则称该种聚合为偶然聚合。 聚合度最低,可修改性最差。,c,模块聚合,在模块设计时,应尽量使模块成为功能聚合模块,避免偶然聚合模块。,模块设计与子系统的划分-耦合,耦合是用来衡量一个系统内模块之间联系程度的指标。模块间的耦合方式通常有四种: 数据耦合 控制耦合 公共环境耦合 内容耦合,模块设计与子系统的划分-耦合,数据耦合:如果两个模块间彼此通过参数交换信息,而且交换的信息仅仅是数据,则这种耦合被称为数据耦合。 是一种理想的耦合,耦合度最低,因为一个模块与其它模块之间的

15、联系全部是数据联系,这个模块就是一个黑箱。,模块设计与子系统的划分-耦合,控制耦合:如果两个模块间交换的信息除了传递数据信息外,还包括控制信息,则这种耦合被称为控制耦合。 此种耦合是中等程度耦合。,模块设计与子系统的划分-耦合,公共耦合:如果两个模块或多个模块都通过同一个公共数据环境相互作用时,则这种耦合被称为公共耦合。 公共数据环境可以是全程变量,内存的公共覆盖区,任何存储介质上的文件等。,模块设计与子系统的划分-耦合,内容耦合:如果一个模块的执行需要另一个模块的内部信息(程序或内部数据)支持,则这种耦合被称为内容耦合。该耦合方式的耦合度最高。内容耦合的两个模块间是病态联结,在修改其中一个模块时,将直接影响到另一个模块,产生波动现象,影响整个系统。因此,在系统设计时,应完全避免内容耦合。 例如:一个模块访问另一个模块内部的数据; 一个模块调用另一个模块的部分程序代码;,c,模块耦合,在模块设计时,应尽量使用数据耦合,少用控制耦合,限制公共环境耦合的范围,完全不用内容耦合,模块设计与子系统的划分-耦合,降低模块间的耦合度的好处: 耦合度越低,模块间相互影响就越小,产生连锁反应的概率就越低; 耦合度越低,可以使修改范围控制在最小限度; 一个模块修改时对系统其它部分正常运行的影响小。,模块的聚合度和耦合度

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号