可编程逻辑器件—组合逻辑电路新试验箱课件

上传人:我*** 文档编号:140689231 上传时间:2020-07-31 格式:PPT 页数:10 大小:487KB
返回 下载 相关 举报
可编程逻辑器件—组合逻辑电路新试验箱课件_第1页
第1页 / 共10页
可编程逻辑器件—组合逻辑电路新试验箱课件_第2页
第2页 / 共10页
可编程逻辑器件—组合逻辑电路新试验箱课件_第3页
第3页 / 共10页
可编程逻辑器件—组合逻辑电路新试验箱课件_第4页
第4页 / 共10页
可编程逻辑器件—组合逻辑电路新试验箱课件_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《可编程逻辑器件—组合逻辑电路新试验箱课件》由会员分享,可在线阅读,更多相关《可编程逻辑器件—组合逻辑电路新试验箱课件(10页珍藏版)》请在金锄头文库上搜索。

1、可编程逻辑器件组合逻辑电路,实验目的,(1)熟悉Quartus II软件中使用文本输入法进行电路设计和仿真 (2)了解组合逻辑电路的设计方法,学会使用VHDL语言进行简单的逻辑电路设计,预习要求,(1)熟悉中规模集成芯片74138的引脚排列和逻辑功能 (2)熟悉可编程逻辑设计的基本方法,74LS138逻辑功能表,G1, 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1

2、 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 0,A2 A1 A0,Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7,实验内容,1、熟练使用Quartus 软件进行程序设计 、利用VHDL语言编程实现74138逻辑功能,进行软件仿真和硬件测试。,报告要求,1)VHDL描述74LS138的程序 2)仿真波形,附录:Quartus II使用,1、file/new建VHDL文本文件,保存的同时新建一个工程,该工程名要和文件中的实体名相一致。 2、Start compilation开始编译 3、在file下新建波形文件用于仿真。Insert/insert node or bus/node find/list把端口全部导入波形图中,保存波形文件。点击 Start simulation,得结果。思考输入的不同进制如何切换?,4、管脚锁定。输入输出端口配上实验板上FPGA的引脚,这是下载程序到实验板上的关键。 芯片选择:EP3C16Q240C8,思考:在做仿真时,Quartus提供了哪二种仿真?这二种仿真的区别,以及如 何调用这二种仿真?,管脚锁定,LED灯,SW 拨码开关,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > PPT模板库 > PPT素材/模板

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号