Cadence 实验系列12数模混合电路设计spetreVerilog培训资料

上传人:yuzo****123 文档编号:137933688 上传时间:2020-07-12 格式:PPT 页数:64 大小:2.64MB
返回 下载 相关 举报
Cadence 实验系列12数模混合电路设计spetreVerilog培训资料_第1页
第1页 / 共64页
Cadence 实验系列12数模混合电路设计spetreVerilog培训资料_第2页
第2页 / 共64页
Cadence 实验系列12数模混合电路设计spetreVerilog培训资料_第3页
第3页 / 共64页
Cadence 实验系列12数模混合电路设计spetreVerilog培训资料_第4页
第4页 / 共64页
Cadence 实验系列12数模混合电路设计spetreVerilog培训资料_第5页
第5页 / 共64页
点击查看更多>>
资源描述

《Cadence 实验系列12数模混合电路设计spetreVerilog培训资料》由会员分享,可在线阅读,更多相关《Cadence 实验系列12数模混合电路设计spetreVerilog培训资料(64页珍藏版)》请在金锄头文库上搜索。

1、,Cadence 实验系列12_ 数模混合电路设计_spetreVerilog,数模混合电路设计流程,数字设计,算法设计,模拟设计,数字版图,数模混合验证,模拟版图,数模混合验证,Tape-out,目 录,1-1 数模混合信号模拟定义,1.模拟信号模拟仿真 2.数字信号模拟仿真 3. 混合信号模拟仿真 4. 商用混合信号模拟仿真,1-1 数模混合信号模拟定义,是指基于松弛算法的由事件驱动的一种仿真模拟方式。,1,2,3,4,事件驱动 : testbench,松弛算法,2.数字信号模拟,1-1 数模混合模拟的定义,以特定的组合方式处理电路中的模拟数字信号的仿真过程,3. 混合信号模拟,模拟信号

2、仿真器,单仿真器架构,双仿真器架构,模拟 信号,数字 信号,简化 模拟 信号,模拟信号 仿真器,数字信号 仿真器,模拟 信号,数字 信号,1-1 数模混合模拟的定义,双仿真器的混合信号模拟,A_D仿真方法组合,手工型,偶合型,集成型,扩展型,A_D仿真器结合度,顺序型,配对型,框架型,巢套型,单模式,+,=,spetreverilog,偶合型-配对型-锁步” (lock-step)同步法 = Cadence spetreverilog,3. 混合信号模拟,1-1 数模混合模拟的定义,混合仿真环境,仿真输出数据库,Verilog-XL,Spetre,IPC,Cadence spetreveril

3、og解决方案,IPC = interprocess communication,3. 混合信号模拟,1-1 数模混合信号模拟定义,4. 商用混合信号仿真技术,Cadence Muti-Mode仿真(MMSIM)解决方案,模拟信号仿真,混合信号仿真,射频信号仿真,芯片级电路验证,Cadence spetreverilog,1-2 数模混合信号模拟的应用,1. 数模混合电路的设计 2. 大型数字集成电路设计 3. 仿真提速,1-2 数模混合信号模拟的应用,1-2 数模混合信号模拟的应用,1. 数模混合设计,1-2 数模混合信号模拟的应用,1. 数模混合设计,信号处理器,D/A,A/D,滤波器,传感

4、器,放大器,接收器,数模IC,1-2 数模混合信号模拟的应用,1. 数模混合设计,数字设计,算法设计,模拟设计,数字版图,数模混合验证,模拟版图,数模混合验证,Tape-out,数字设计,模拟设计,混合原理图,建立层次化文件,配置仿真环境,仿 真 验 证,1-2 数模混合信号模拟的应用,大部分电路使用verilog仿真器仿真; 指定的关键部分电路使用模拟仿真器以达到高精度.,2.大型数字系统仿真,1-2 数模混合信号模拟的应用,A:用作信 号发生器。 仿真的时候,需要不少的激励信号,而且有着严格的时序关系,要是用pulse电源或是别的什么电源来做的话,可要累死人的。用verilog写模块的fo

5、undational,就 可以比较方便快捷的构成一个信号发生器。,3.仿真提速,B:节约模拟的时间。 对于一些成熟的已经知道电路输入输出特性的电路,可以用 verilog写出其特性,这样的话,模拟的时间可以大大的缩短。当然,还有就是verilog 的老本家-数字电路,也可以用verilog写出foundational,节约模拟的时间。,1-3 Cadence 中的Spetreverilog,1-3 Cadence 中的Spetreverilog,1. cadence中数模混合仿真的环境 2. cadence中数模混合仿真的数据流,1. 数模混合仿真的环境,1-3 Cadence 中的Spetr

6、everilog,Spetreverilog 仿真器,仿真器 工作环境,2. 数模混合仿真的数据流,1-3 Cadence 中的Spetreverilog,数字设计,模拟设计,制作顶层文件,建立层次化文件,配置仿真环境,仿 真 验 证,2-1 数字-模拟电路设计,1.数-模电路设计 2.数字电路设计 3. 模拟电路设计,2-1 数字-模拟电路设计,1.数-模电路设计,2-1 数字-模拟电路设计,schemetic,计数器,Verilog-editor,时钟发生器,schemetic,1.数-模电路设计,2-1 数字-模拟电路设计,Lib,Verilog,schem,symbo,Counter_

7、1,Clk_1,1 建立自己的设计库 名字 design_101,1.数-模电路设计,2-1 数字-模拟电路设计,1.数-模电路设计,2-1 数字-模拟电路设计,1.建立一个设计库,1 在设计库中使用Verilog-editor建立一个元件 名字 counter_01 视图 functional 2 编辑 verilog文件,输入counter_1代码 3 符号化counter_01, 生成symbol视图,2.数字电路设计,2-1 数字-模拟电路设计,1.数-模电路设计,2-1 数字-模拟电路设计,1.建立一个verilog元件,2.数字电路设计,2-1 数字-模拟电路设计,2.输入veri

8、log代码,2.数字电路设计,2-1 数字-模拟电路设计,3.生成symbol视图,verilog,symbo,2.数字电路设计,2-1 数字-模拟电路设计,3.生成symbol视图,2.数字电路设计,2-1 数字-模拟电路设计,3.生成symbol视图,2.数字电路设计,2-1 数字-模拟电路设计,3.生成symbol视图,3.模拟电路设计,1 在设计库中使用Composer-Schemetic建立一个元件 名字 clk_01 视图 schematic 2 编辑 schematic文件,输入clk_01的电路图,2-1 数字-模拟电路设计,3.模拟电路设计,2-1 数字-模拟电路设计,1.建

9、立一个schemetic元件,3.模拟电路设计,2-1 数字-模拟电路设计,2.绘制schemetic原理图,3.模拟电路设计,2-1 数字-模拟电路设计,2.绘制schemetic原理图,元件规格: Pmos W 2.5u L 500n Nmos W 2.5u L 500n Res 200k Cap 10p Vdc 5,数字设计,模拟设计,制作顶层文件,建立层次化文件,配置仿真环境,仿 真 验 证,2-2 制作顶层文件,2-2 制作顶层文件,1 实际操作中,我们把clk_01 schematic作为顶层文件,直接导入counter_01.,数字设计,模拟设计,制作顶层文件,建立层次化文件,配

10、置仿真环境,仿 真 验 证,2-3 建立层次文件,1 建立config文件 元件名 clk_01 视图 config 工具 Hierarchy Editor 2 把config文件链接到顶层文件 3 把模板选为spetreVerilog 4 把相应的单元分别设置为verilog仿真和spetre仿真,2-3 建立层次文件,1.建立config文件,2-1 数字-模拟电路设计,Lib,Verilog,schem,symbo,Counter_1,Clk_1,config,1.建立config文件,2-3 建立层次文件,1.建立config文件,2-3 建立层次文件,2.链接顶层文件,1.建立con

11、fig文件,2-3 建立层次文件,3.设置spetreverilog模板,1.建立config文件,2-3 建立层次文件,3.设置spetreverilog模板,数字设计,模拟设计,制作顶层文件,配置仿真环境,建立层次化文件,仿 真 验 证,2-4 设置仿真环境,1 进入Mixed signal模式 2 设置模式层次显示 3 设置Analog 仿真器 设置仿真器工作模式 spectreVerilog 设置引用工艺库ms018_vlp7_spe.lib 设置模拟仿真器激励 设置数字仿真器激励testfixture 设置仿真的时长与步长1000u/ 1u/1u 设置绘图节点,2-4 设置仿真环境,

12、1.进入Mixed signal模式,2-3 设置仿真环境,2.设置模式层次显示,2-4 设置仿真环境,2.设置Analog环境,2-4 设置仿真环境,1.设置仿真器工作模式,2. 配置仿真环境,2-4 设置仿真环境,2.设置引用工艺库,2. 配置仿真环境,2-4 设置仿真环境,3.设置模拟仿真器激励,2. 配置仿真环境,2-4 设置仿真环境,4.设置数字仿真器激励,2. 配置仿真环境,2-4 设置仿真环境,5.设置仿真的时长与步长,2. 配置仿真环境,2-4 设置仿真环境,6.设置绘图节点,3-1 混合信号的模拟的特点,3-1 混合信号的模拟的特点,1 仿真的文件 2 仿真器的工作模式 3 仿真器的激励,1.仿真的文件,混合信号,3-1 混合信号的模拟的特点,模拟信号,config,schematic,2.仿真器的工作模式,混合信号,3-1 混合信号的模拟的特点,模拟信号,spectreVerilog,spectre,3.仿真器的激励,混合信号,3-1 混合信号的模拟的特点,模拟信号,Analog & Digital,Analog,Thank you!,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 教学课件 > 高中课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号