基于verilog语言的50MHz分频1Hz.doc

上传人:灯火****19 文档编号:136887795 上传时间:2020-07-03 格式:DOC 页数:1 大小:68KB
返回 下载 相关 举报
基于verilog语言的50MHz分频1Hz.doc_第1页
第1页 / 共1页
亲,该文档总共1页,全部预览完了,如果喜欢就下载吧!
资源描述

《基于verilog语言的50MHz分频1Hz.doc》由会员分享,可在线阅读,更多相关《基于verilog语言的50MHz分频1Hz.doc(1页珍藏版)》请在金锄头文库上搜索。

module cp_1s(input wire clr,/清零端,用于将25位的计数器清零input wire clk,/时钟脉冲输入,clk为50MHz的时钟脉冲output reg a/输出变量,该变量即为频率为1S的脉冲 ); reg 25:0q; /设定一个25位的计数器always(posedge clk or posedge clr)/当clk或clr其中之一为上升沿时触发 begin if(clr=1)/当clk为1,上升沿来到时a清零 begin q=0; a=0; end else if(q=24999999)/当q计够25兆个数时,a翻转一次beginq=0;a=a;end else/上述条件都不满足时,上升沿来到后q值加1q=q+1;endendmodule

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号