FPGA课程设计(最终版).doc

上传人:飞****9 文档编号:136788943 上传时间:2020-07-02 格式:DOC 页数:40 大小:1.03MB
返回 下载 相关 举报
FPGA课程设计(最终版).doc_第1页
第1页 / 共40页
FPGA课程设计(最终版).doc_第2页
第2页 / 共40页
FPGA课程设计(最终版).doc_第3页
第3页 / 共40页
FPGA课程设计(最终版).doc_第4页
第4页 / 共40页
FPGA课程设计(最终版).doc_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《FPGA课程设计(最终版).doc》由会员分享,可在线阅读,更多相关《FPGA课程设计(最终版).doc(40页珍藏版)》请在金锄头文库上搜索。

1、课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位: 信息工程学院 题 目: 电子琴的设计课程设计目的: FPGA原理与应用课程设计的目的是为了让学生熟悉基于VHDL语言进行FPGA开发的全流程,并且利用FPGA设计进行专业课程理论知识的再现,让学生体会EDA技术的强大功能,为今后使用FPGA进行电子设计奠定基础。课程设计内容和要求设计内容:(1)设计一个八音电子琴。(2)由键盘输入控制音响,同时可自动演奏乐曲。 (3)用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。要求每个学生单独完成课程设计内容,并写出课程设计说明书、说明书应该包括所涉及到的理论部分和

2、充足的实验结果,给出程序清单,最后通过课程设计答辩。时间安排:序号阶段内容所需时间1方案设计1天2软件设计2天3系统调试1天4答辩1天合 计5天指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目 录摘 要1Abstract21设计意义和要求31.1设计意义31.2功能要求32方案论证及原理分析42.1实现方案比较42.2乐曲实现原理42.3系统组成及工作原理63系统模块设计83.1顶层模块的设计83.2乐曲自动演奏模块的设计83.3音阶发生器模块的设计93.4数控分频器模块的设计94程序设计114.1VHDL设计语言和ISE环境简介114.2顶层模块的程序设计124.3乐曲自动

3、演奏模块的程序设计134.4音阶发生器模块的程序设计134.5数控分频模块的程序设计145设计的仿真与实现155.1乐曲自动演奏模块仿真155.2音调发生模块仿真185.3数控分频模块仿真195.4电子琴系统的仿真205.5设计的实现225.6查看RTL视图235.7查看综合报告256心得体会317参考文献328附录33 摘 要随着基于FPGA的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化

4、、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。此次设计主要是基于VHDL文本输入法设计乐曲演奏电路,运用VHDL语言对简易电子琴的各个模块进行设计,并使用EDA工具对各模块进行仿真验证。该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,通过按键输入来控制音响或者自动演奏已存入的歌曲。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。选择手动弹

5、奏模式按键时,按下音符键后就会选通相应的频率输出;选择自动演奏模式按键时,储存器会将编写好的音符信息依次取出,去选通各个对应的频率输出,实现自动演奏。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、电路功能验证,奏出美妙的乐曲(当然由于条件限制,暂不进行功能验证,只进行编程和时序仿真)。关键词:EDA,VHDL,电子琴,自动演奏AbstractWith the expansion and further FPGA-based EDA technology development and applications, the importance of EDA tec

6、hnology in the field of electronic information, communication, computer and other automatic control have become increasingly prominent. EDA technology is to the computer as a tool designer in the EDA software platform, hardware description language HDL complete the design file and then automatically

7、 done by computer logic compilation, simplification, segmentation, integration, optimization, placement, routing and simulation, until for specific target chip adapter compilation, mapping and logic programming download work. Use of EDA tools, electronic designers from concept, algorithms, protocols

8、, and so began the design of electronic systems, a lot of work can be done by computer and electronic products can be from the circuit design, performance analysis to the entire process of IC layout design or layout of the PCB automatic processing is completed on the computer.The design is mainly ba

9、sed on VHDL text input music performance circuit design, each module using VHDL language of simple flower design, and the use of EDA tools for simulation of each module. The system is based on the principle of the computer clock divider, using top-down design approach to achieve, through the key inp

10、ut to control the sound or song to automatically play has been deposited. System from automatically playing music module, tone generator module and NC divider module three parts. When you select the manual mode after the play button, it will note is pressed the corresponding frequency strobe output;

11、 Select Auto Play mode button, the reservoir will be removed in order to write good music information, each corresponding to the frequency of the strobe output, automatic playing. System implementation is hardware description language VHDL by a modular approach to design, and then programming, timin

12、g simulation, circuit functional verification, play wonderful music (of course, due to constraints, they will not perform functional verification, and timing simulation program only) .Key words: EDA, VHDL, electronic organ, automatic play1设计意义和要求1.1设计意义电子琴作为音乐与科技的产物,在电子化和信息化的时代,为音乐的大众化做出了很大的贡献,歌曲的制作

13、大多数都要由电子琴来完成,然后通过媒介流传开来,电视剧和电影的插曲、电视节目音效、甚至手机铃声,都很可能包含电子琴的身影。电子琴是数字电路中的一个典型应用。然而在实际的硬件设计中用到的器件非常多,连线比较复杂,同时会产生比较大的延时,从而造成测量误差较大,可靠性不好。以EDA工具作为开发手段,运用VHDL硬件描述语言可以使使整个系统大大简化,提高了电子琴整体的性能和可靠性。1.2功能要求1)设计一个八音电子琴;2)由键盘输入控制音响,同时可自动演奏乐曲;3)用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。2方案论证及原理分析2.1实现方案比较方案一:采用数字逻辑电

14、路制作,用IC拼凑焊接实现。其特点是直接用现成的IC组合而成,简单方便,但本系统需用到许多分频器,这就使得需要用到相当多的IC,从而造成了体积过于庞大,而且连线也会比较复杂。方案二:由单片机来完成设计。可用单片机控制键盘的输入,以及产生相应的频率信号作为输出。目前,单片机的功能已比较强大,集成度日益增高且其设计和控制比较容易。但是由于在传统的单片机设计系统中必须使用许多分立元件组成单片机的外围电路,如锁存器,译码器等都需要单独的电路,因此整个系统显得十分复杂,抗干扰性差,在运行过程中容易死机或进入死循环,可靠性降低,而功耗费用增高。方案三:采用可编程逻辑器件(FPGA)来完成该设计,将所有器件

15、集成在一块芯片上,大大减小了电子琴的体积,可靠性和精度都比较好。用VHDL编程实现时更加方便,而且易于进行功能扩展,并可调试仿真,制作时间大大缩短。综合分析后我认为,方案三采用FPGA的方法来实现,不仅可以实现按键播放音乐和自动播放音乐的要求,有较高的灵敏度和可靠性。并且原理方法和模块结构清晰,制作方案比较容易实现,所以我采用方案三作为具体实现方案。2.2乐曲实现原理乐曲都是由一连串的音符组成,按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在扬声器上连续地发出各个音符的音调。为了准确地演奏出一首乐曲,仅仅让扬声器能够发出声音是远远不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由此可见,乐曲中每个音符的发音频率以及音符持续的时间是乐曲能够连续演奏的两个关键因素。乐曲的12平均率规定:每2个八度音之间的频率要相差1倍,比如简谱中的中音2与高音2。在2个八度音之间,又可分为12个半音。另外,音符A(简谱

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 管理论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号