Verilog中的parameter与localparam.doc

上传人:灯火****19 文档编号:135020678 上传时间:2020-06-11 格式:DOC 页数:2 大小:25.17KB
返回 下载 相关 举报
Verilog中的parameter与localparam.doc_第1页
第1页 / 共2页
Verilog中的parameter与localparam.doc_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《Verilog中的parameter与localparam.doc》由会员分享,可在线阅读,更多相关《Verilog中的parameter与localparam.doc(2页珍藏版)》请在金锄头文库上搜索。

1、parameter与localparam语法(Verilog-2001):module module_name #(parameter parameter_name = default_value,parameter parameter_name = default_value, parameter parameter_name = default_value)(/IO_port declaration);例化:Module_name #(.parameter_name(value),.parameter_name(value),Parameter_name(value)inst_name(/

2、IO_port MAP);Verilog_1995在头部生命之后声明,即先声明IO_port,后声明parameter,且只能按照顺序列表或者defparam来重新定义parameter的值module module_name(/IO_port declaration);parameter parameter_name = default_value;parameter parameter_name = default_value;parameter parameter_name = default_value;例化:module_name #(parameter1_value,paramet

3、er2_value,parameter3_value)inst_name(/IO_port MAP);或者defparam inst_name.parameter1 = value;defparam inst_name.parameter2 = value;defparam inst_name.parameterN = value;module_name inst_name(/IO_port MAP);在Verilog_1995标准中没有localparam,常量必须被声明为parameter,尽管它不应该被定义localparam(常量),定义在模块的内部,且可利用parameter来定义localparam,但不可以直接用来传递

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号