基于QUARTUS的EDA课程设计数字频率计的仿真设计

上传人:l**** 文档编号:134894762 上传时间:2020-06-09 格式:DOC 页数:18 大小:272.50KB
返回 下载 相关 举报
基于QUARTUS的EDA课程设计数字频率计的仿真设计_第1页
第1页 / 共18页
基于QUARTUS的EDA课程设计数字频率计的仿真设计_第2页
第2页 / 共18页
基于QUARTUS的EDA课程设计数字频率计的仿真设计_第3页
第3页 / 共18页
基于QUARTUS的EDA课程设计数字频率计的仿真设计_第4页
第4页 / 共18页
基于QUARTUS的EDA课程设计数字频率计的仿真设计_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《基于QUARTUS的EDA课程设计数字频率计的仿真设计》由会员分享,可在线阅读,更多相关《基于QUARTUS的EDA课程设计数字频率计的仿真设计(18页珍藏版)》请在金锄头文库上搜索。

1、成 绩 评 定 表学生班级学号专 业课程设计题目评语组长签字:成绩日期 201年月日课程设计任务书学 院专 业学生班级学号课程设计题目实践教学要求与任务:一、容及要求: 利用所学的EDA设计方法设计数字频率计,熟练使用使用QUARTUSII应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。1调试底层模块,并时序仿真。2.设计顶层模块,并时序仿真。3.撰写课程设计报告,设计报告要求及格式见附件。二、功能要求:设计一个思维十进制的数字频率计。要求具有以下功能;(1) 测量围:1HZ10HZ。(2) 测量误差1(3) 响应时间15s。(4) 显示时间不小于1s。(5)

2、具有记忆显示的功能。即在测量过程中不刷新数据。等数据过程结束后才显示测量结果。给出待测信号的频率值。并保存到下一次测量结束。包括时基产生与测评时序控制电路模块。以及待测信号脉冲计数电路模块和锁存与译码显示控制电路。工作计划与进度安排:课程设计时间为10天(2周) 1、调研、查资料1天。 2、总体方案设计2天。 3、代码设计与调试5天。 4、撰写报告1天。 5、验收1天。指导教师: 201年月日专业负责人:201年月日学院教学副院长:201年月日目录1.设计要求22、设计目的23.总体设计思路及解决方案23.1相关知识23.3、设计思路及解决方案44.分层次方案设计及代码描述54.1底层程序源码

3、54.2顶层程序源码105.各模块的时序仿真结果126.设计心得15数字频率计课程设计1.设计要求设计一个四位十进制的数字频率计。要求具有以下功能:(1)测量围:1HZ10HZ。 (2) 测量误差1(3)响应时间15s。(4)显示时间不小于1s。(5)具有记忆显示的功能。即在测量过程中不刷新数据。等数据过 程结束后才显示测量结果。给出待测信号的频率值。并保存到 下一次测量结束。(6)包括时基产生与测评时序控制电路模块。以及待测信号脉冲计 数电路模块和锁存与译码显示控制电路。2、设计目的通过综合性课程设计题目的完成过程,运用所学EDA知识,解决生活中遇到的实际问题,达到活学活用,所学为所用的目的

4、,进一步理解EDA的学习目的,提高实际应用水平。本次设计的数字频率计具有精度高、使用方便、测量迅速、便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字频率计主要包括时基产生与测评时序控制电路模块、待测信号脉冲计数电路、译码显示与锁存控制电路模块。3.总体设计思路及解决方案3.1相关知识Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus

5、 II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC

6、)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II

7、作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。 Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。3.2 设计思路及原理图数字频率计是一种用十进制数字显示被测

8、信号频率的数字测量仪器.它的基本功能是测量方波信号及其他各种单位时间变化的物理量。本数字频率计采用自顶向下的设计思想,通过闸门提供的1s闸门时间对被测信号进行计数及测出的被测信号的频率,测出的频率再通过译码器译码后输出给显示器显示。根据系统设计的要求,数字频率计的电路原理框图如下:图3.1 数字频率计的电路原理框图3.3、设计思路及解决方案设计方案自顶向下设计,底层模块分时基产生与测评时序控制电路模块、待测信号脉冲计数电路模块、译码显示与锁存控制电路模块。(1)时基产生与测评时序控制电路模块:设计频率记得关键是设计一个测频率控制信号发生器(即时基产生与测评时序控制电路模块),产生测量频率 控制

9、时序。控制时钟信号clk取为1Hz,二分频后即可产生一个脉宽为1s的时钟control-en ,以此作为计数闸门信号。当control-en为高电平时,允许计数;当control-en的下降沿时,应产生一个锁存信号,将计数值保存起来;锁存数据后,在下一个control-en上升沿到来之前对计数器清零,为下次计数做准备。(2)待测信号脉冲计数电路模块 待测信号脉冲计数电路模块就是计数器,计数器以待测信号作为时钟,在清零信号clr到来时,异步清零;使能信号en为高电平时允许计数,为低电平时禁止计数。(3)锁存与译码显示控制电路模块 锁存器在control-en下降沿到来时,将计数器的计数值锁存,这

10、样就不会因为周期性的清零信号而不断闪烁了。译码显示电路将计数器测得的BCD码数字转换为七段晶体管LED显示(09),显示出十进制的数字结果。4.分层次方案设计及代码描述4.1底层程序源码1、时基产生与测频时序控制电路模块的VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity control is port (clk:in std_logic; -定义输入 rst,ena: out std_logic); -定义输出end control;architecture behv o

11、f control isbegin process (clk) -clk为敏感信号 variable cqi :std_logic_vector(2 downto 0);begin if clkevent and clk=1 then -时钟上升沿 if cqi 1 then cqi:=cqi+1;ena=1;rst0); ena=0;rst0); -执行清零 elsif fxevent and fx=1 then -fx上升沿 if ena =1 then -如果使能信号为1 if cqi 9 then cqi:=cqi+1;cout0); cout0); -使能信号为0 end if;end if; outy =cqi;end process; -进程结束end behv;(2)4位10进计数器:library ieee;use ieee.std_logic_1164.all;entityt10_4 isport(fx,rst,ena:in std_logic; -定义输入d:out std_logic_vector(15 downto 0); -定义输出end entity;architecture one oft10_4 iscomponentt10 port (rst,fx,ena:in std_logic; cout: out std_logic; out

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作范文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号