过零比较器-单限比较器-滞回比较器-窗口比较器

上传人:我*** 文档编号:134775278 上传时间:2020-06-08 格式:DOC 页数:12 大小:281.50KB
返回 下载 相关 举报
过零比较器-单限比较器-滞回比较器-窗口比较器_第1页
第1页 / 共12页
过零比较器-单限比较器-滞回比较器-窗口比较器_第2页
第2页 / 共12页
过零比较器-单限比较器-滞回比较器-窗口比较器_第3页
第3页 / 共12页
过零比较器-单限比较器-滞回比较器-窗口比较器_第4页
第4页 / 共12页
过零比较器-单限比较器-滞回比较器-窗口比较器_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《过零比较器-单限比较器-滞回比较器-窗口比较器》由会员分享,可在线阅读,更多相关《过零比较器-单限比较器-滞回比较器-窗口比较器(12页珍藏版)》请在金锄头文库上搜索。

1、一、过零比较器过零比较器,顾名思义,其阈值电压UT=0V。电路如图(a)所示,集成运放工作在开环状态,其输出电压为+UOM或-UOM。当输入电压uI0V时,UO=-UOM。因此,电压传输特性如图(b)所示。为了限制集成运放的差模输入电压,保护其输入级,可加二极管限幅电路,如右图所示。 两只稳压管稳压值不同在实用电路中为了满足负载的需要,常在集成运放的输出端加稳压管限幅电路,从而获得合适的UOH和UOL,如图(725)(a)所示。图中R为限流电阻,两只稳压管的稳定电压均应小于集成运放的最大输出电压UOM。设稳压管DZ1的稳定电压为UZ1,稳压管DZ2的稳定电压为UZ2,UZ1和UZ2的正向导通电

2、压均为UD。当uI0时,由于集成运放的输出电压u/O=-UOM,DZ2使工作在稳压状态,DZ1工作在正向导通状态,所以输出电压u O=UOL=-(UZ2+UD)两只稳压管稳压值相同若要求,UZ1=UZ2则可以采用两只特性相同而又制作在一起的稳压管,其符号如图(b)所示,稳定电压标为UZ。当uI0时,u O=UOL=-UZ。稳压管接在反馈通路中限幅电路的稳压管还可跨接在集成运放的输出端和反相输入端之间,如右图所示。假设稳压管截止,则集成运放必然工作在开环状态,输出电压不是+UOM,就是-UOM。这样,必将导致稳压管击穿而工作在稳压状态,DZ构成负反馈通路,使反相输入端为“虚地”,限流电阻上的电流

3、iR等于稳压管的电流iZ,输出电压u O=UZ。 电路优点:由于集成运放的净输入电压和净输入电流均近似为零,从而保护了输入级;由于集成运放并没有工作到非线性区,因而在输入电压过零时,其内部的晶体管不需要从截止区逐渐进入饱和区,或从饱和区逐渐进入截止区,所以提高了输出电压的变化速度。二、一般单限比较器如图(a)所示为一般单限比较器,UREF为外加参考电压。根据叠加原理,集成运放反相输入端的电位 (推导过程)令uN=uP=0,则求出阈值电压 当uIUT时,uNUT时,uNuP,所以u/o=-UOM,uo=UOL=-UZ。若UREF0,则图(a)所示电路的传输特性如图(b)所示。综上所述,分析电压传

4、输特性三个要素的方法是:通过研究集成运放输出端所接的限幅电路来确定电压比较器的输出低电平UOL和输出高电平UOH;写出集成运放同相输入端、反相输入端电位uN和uP的表达式,令uN=uP,解得输入电压就是阈值电压;uo在uI过UT时的跃变方向决定于uI作用于集成运放的哪个输入端。当uI从反相输入端输入时,uIUT,uo=UOL。当uI从同相输入端输入时,uIUT,uo=UOH。绝大多数比较器中都设计带有滞回电路, 通常滞回电压为5mV到10mV。内部滞回电路可以避免由于输入端的寄生反馈所造成的比较器输出振荡。但是内部滞回电路虽然可以使比较器免于自激振荡, 却很容易被外部振幅较大的噪声淹没。这种情

5、况下需要增加外部滞回, 以提高系统的抗干扰性能。 首先, 看一下比较器的传输特性。图1所示是内部没有滞回电路的理想比较器的传输特性, 图2所示为实际比较器的传输特性。从图2可以看出, 实际电压比较器的输出是在输入电压(VIN)增大到2mV时才开始改变。 图1. 理想比较器的传输特性 图2. 实际比较器的传输特性 运算放大器在开环图3. 无滞回电路时比较器输出的模糊状态和频繁跳变 举个例子, 考虑图4所示简单电路, 其传输特性如图5所示。比较器的反相输入电压从0开始线性变化, 由分压电阻R1、R2构成正反馈。当输入电压从1点开始增加(图6), 在输入电压超过同相阈值VTH+ = VCCR2/(R

6、1 + R2)之前, 输出将一直保持为VCC。在阈值点, 输出电压迅速从VCC跳变为VSS, 因为, 此时反相端输入电压大于同相端的输入电压。输出保持为低电平, 直到输入经过新的阈值点5 ,VTH- = VSSR2/(R1 + R2)。在5点, 输出电压迅速跳变回VCC, 因为这时同相输入电压高于反相输入电压。图4. 具有滞回的简单电路 图5. 图4电路的传输特性 图6. 图4电路的/输出电压波形 图4所示电路中的输出电压VOUT与输入电压VIN的对应关系表明, 输入电压至少变化2VTH时, 输出电压才会变化。因此, 它不同于图3的响应情况(放大器无滞回), 即对任何小于2VTH的噪声或干扰都

7、不会导致输出的迅速变化。在实际应用中, 正、负电压的阈值可以通过选择适合的反馈设置。 其它设置可以通过增加不同阈值电压的滞回电路获得。图7电路使用了两个MOSFET和一个电阻网络调节正负极性的阈值。与图4所示比较器不同, 电阻反馈网络没有加载到负载环路, 图8给出了输入信号变化时的输出响应。 图7. 通过外部MOSFET和电阻构成滞回电路 图8. 图7电路的输入/输出电压波形 比较器内部的输出配置不同, 所要求的外部滞回电路也不同。例如, 具有内部上拉电阻的比较器,可以在输出端和同相输入端直接加入正反馈电阻。输入分压网络作用在比较器的同相输入端, 反相输入电压为一固定的参考电平(如图9)。 图

8、9. 在带有上拉电阻的比较器中加滞回电路 如上所述, 具有内部滞回的比较器提供两个门限:一个用于输入上升电压(VTHR),一个用于检测输入下降电压(VTHF), 对应于图8的VTH1和VTH2。两个门限的差值为滞回带(VHB)。当比较器的输入电压相等时, 滞回电路会使一个输入迅速跨越另一输入, 从而使比较器避开产生振荡的区域。图10所示为比较器反相输入端电压固定, 同相输入端电压变化时的工作过程,交换两个输入可以得到相似波形, 但是输出电压极性相反。图10. 图9电路的输入/输出电压波形 根据输出电压的两个极限值(两个电源摆幅), 可以很容易地计算反馈分压的电阻值。 内部有4mV滞回和输出端配

9、有上拉电阻的比较器 - 如Maxim的MAX9015、MAX9017和MAX9019等。这些比较器设计用于电压摆幅为VCC和0V的单电源系统。可以按照以下步骤, 根据给定的电源电压、电压滞回(VHB)和基准电压(VREF), 选择并计算需要的元件: 第1步选择R3, 在触发点流经R3的电流为(VREF - VOUT)/R3。考虑到输出的两种可能状态, R3由如下两式求得:R3 = VREF/IR3和R3 = (VCC - VREF)/IR3.取计算结果中的较小阻值, 例如, VCC = 5V, IR3 = 0.2A, 使用MAX9117比较器(VREF = 1.24V), 则计算结果为6.2M

10、和19M, 选则R3为6.2M。 第2步选择滞回电压(VHB)。在本例中, 选择滞回电压为50mV。第3步R1可按下式计算。对于这个例子, R1的值为:第4步VIN上升门限(VTHR)的选择, 例如:在该门限点, 当输入电压VIN超过阈值时, 比较器输出由低电平变到高电平。本例中, 选择VTHR = 3V。 第5步计算R2, R2可按下式计算:本例中, R2的值为44.2k。 第6步按如下步骤验证电压和滞回电压:VIN上升门限 = 2.992V, 等于VREF乘以R1, 除以R1、R2和R3并联后的阻值。VIN下降门限 = 2.942V。因此, 滞回电压 = VTHR - VTHF = 50m

11、V.最后, 开漏结构的比较器内部滞回电压为4mV (MAX9016、MAX9018、MAX9020), 需要外接上拉电阻, 如图11所示。外加滞回可以通过正反馈产生, 但是计算公式与上拉输出的情况稍有不同。滞回电压 = VTHR - VTHF = 50mV。按如下步骤计算电阻值: 第1步选择R3, 在IN_+端的漏电流小于2nA, 所以通过R3的电流至少为0.2A, 以减小漏电流引起的误差。R3可由R3 = VREF/IR3或R3 = (VCC - VREF)/IR3 - R4两式求得, 取其较小值。例如, 使用MAX9118 (VREF=1.24V), VCC = 5V, IR3 = 0.2

12、A, R4 = 1M, 计算结果为6.2M和18M, 则R3选6.2M。 第2步选择需要的滞回电压 (VHB)。 第3步选择R1, R1可按下式计算:在此例中, R1为:第4步选择VIN上升门限(VTHR), 如下式:在该门限点, 当输入电压VIN超过阈值时, 比较器输出由低电平变到高电平。本例中, 选择VTHR = 3V。 第5步计算R2, 如下式:本例中, R2的值为49.9k。 第6步按如下步骤验证触发电压和滞回电压:图11. 在输出为开漏结构的比较器中加滞回电路 窗口比较器”又叫“双限比较器”,是指在输入信号的上升沿和下降沿翻转电压不同的比较器,两个电压之间的值为窗口宽度。窗口比较器常

13、用两个比较器组成(双比较器),它有两个阈值电压VTHH(高阈值电压)及VTHL(低阈值电压),与VTHH及VTHL比较的电压VA输入两个比较器。若VTHLVAVTHH,Vout输出高电平;若VAVTHL,VAVTHH,则Vout输出低电平,如图10所示。图10是一个冰箱报警器电路。冰箱正常工作温度设为05,(0到5是一个“窗口”),在此温度范围时比较器输出高电平(表示温度正常);若冰箱温度低于0V或高于5,则比较器输出低电平,此低电平信号电压输入微控制器(C)作报警信号。 温度传感器采用NTC热敏电阻RT,已知RT在0时阻值为333.1k;5时阻值为258.3k,则按1.5V工作电压及流过R1

14、、RT的电流约1.5uA,可求出R1的值。R1的值确定后,可计算出0时的VA值为0.5V(按图10中R1=665k时),5时的VA值为0.42V,则VTHL=0.42V,VTHH=0.5V。若设R2=665k,则按图11,可求出流过R2、R3、R4电阻的电流I=(1.5V-0.5V)/665k=0.0015mA,按R4I/=0.42V,可求出R4=280k再按0.5V=(R3+R4)0.0015mA,则可求出R3=53.3k。本例中两个比较器采用低工作电压、低功耗、互补输出双比较器LT1017,无需外接上拉电阻。如果要判别输入信号电压ui是否进入某一定 范围,则可以用图1(a)所示的窗口比较器来进行判别。该窗口比较器是由一个反向输入差动任意电平比较器和另一个同相输入差动任意电平比较器适当地组合而成。 假定UREF1 UREF2,则当ui ui UOM,D1、D2都截止,输出电压uo = 0;当ui-U REF

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 事务文书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号