4选1数据选择器的VHDL设计.doc

上传人:飞****9 文档编号:134194796 上传时间:2020-06-03 格式:DOC 页数:2 大小:28KB
返回 下载 相关 举报
4选1数据选择器的VHDL设计.doc_第1页
第1页 / 共2页
4选1数据选择器的VHDL设计.doc_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《4选1数据选择器的VHDL设计.doc》由会员分享,可在线阅读,更多相关《4选1数据选择器的VHDL设计.doc(2页珍藏版)》请在金锄头文库上搜索。

一、4选1数据选择器的VHDL设计1.实体框图2.程序设计正确的程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX41A IS PORT(D3,D2,D1,D0,A1,A0,EN:IN STD_LOGIC; Y:OUT STD_LOGIC);END ENTITY MUX41A;ARCHITECTURE ONE OF MUX41A IS BEGIN Y=D0 WHEN A1=0 AND A0=0 AND EN=1 ELSE D1 WHEN A1=0 AND A0=1 AND EN=1 ELSE D2 WHEN A1=1 AND A0=0 AND EN=1 ELSE D3 WHEN A1=1 AND A0=1 AND EN=1 ELSE Z;END ARCHITECTURE ONE;3.仿真波形图4.仿真波形分析由图可知,当使能EN为0时,无波形输出,当EN=1时,A1 A0=00,输出Y=D0,A1 A0=01,Y=D1,A1 A0=10,Y=D2,A1 A0=11,Y=D3。所以改程序设计为数据选择器。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 管理论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号