2020(电子行业企业管理)广工EDA电子技术实训报告

上传人:精****库 文档编号:133574323 上传时间:2020-05-28 格式:DOC 页数:56 大小:196.61KB
返回 下载 相关 举报
2020(电子行业企业管理)广工EDA电子技术实训报告_第1页
第1页 / 共56页
2020(电子行业企业管理)广工EDA电子技术实训报告_第2页
第2页 / 共56页
2020(电子行业企业管理)广工EDA电子技术实训报告_第3页
第3页 / 共56页
2020(电子行业企业管理)广工EDA电子技术实训报告_第4页
第4页 / 共56页
2020(电子行业企业管理)广工EDA电子技术实训报告_第5页
第5页 / 共56页
点击查看更多>>
资源描述

《2020(电子行业企业管理)广工EDA电子技术实训报告》由会员分享,可在线阅读,更多相关《2020(电子行业企业管理)广工EDA电子技术实训报告(56页珍藏版)》请在金锄头文库上搜索。

1、实训报告课程名称 EDA电子技术实训 学 院 自动化学院 专 业 年级班别 学 号 学生姓名 指导教师 2015年 10月5日目录第一阶段:SOPC技术运用1Task 1:Task 1 Nios_II_Exercises1Task 2:驱动1602液晶显示4Task 3:DE2VGA5Task 4:基于DE2 的uClinux 移植及应用开发6第二阶段:Synopsys IC设计软件入门7Lab 1-1:Basic Synthesis Design Flow7Lab 2-1:Block Level Design (UMC90)10Lab 2-2:Leakage Power .Opt.by Mu

2、lti-Vt (UMC90)16Lab 2-3:DC-Topographical(UMC90)18Lab 3-1:Top-level Synthesis19Lab 3-2:CHIP-level Synthesis21第三阶段:Multisim工具的使用与实验23Multisim Lab1:单级放大电路23Multisim Lab2:射极跟随器27Multisim Lab3:负反馈放大电路29Multisim Lab4:MultiSIM电路仿真实验32Multisim Lab5:串联型晶体管稳压电路36Multisim Lab6:OTL功率放大器39Multisim Lab7:集成运算放大器运用

3、的测量42Multisim Lab8:波形发生器应用的测量45Multisim Lab9:二阶低通滤波器51两周实训总结:54第一阶段:SOPC技术运用Task 1:Task 1 Nios_II_Exercises基本流程:(一). 创建 Nios II 系统步骤:1. 打开工程文件;2. 器件族的选择和管脚分配;3. 新建嵌入式系统:Tools = SOPC Builder;4. 系统设置:语言设置系统命名器件选择时钟设置;5. 根据系统需求,逐一添加组件:一般一个完整的系统,组件包括处理器、存储器、总线、系统时钟、I/O口等;6. 设置基地址;7. 设置主从关系;至此,例图如下:8. 建立

4、CPU的复位和异常地址:点击Nios II More “cpu” Settings方格;9. 核对Simulation的检验盒;10. 生成参数化处理器系统:点击Generate;11. 回到quartus II工程文件添加新建系统组件(注意管脚要整齐排列布置);至此,例图如下:12. 编译工程(编译前保存原理图);(二). 软件设计步骤:1. 下载工程(下载之前要为.sof文件标记Program/Configure检测盒);2. 从SOPC Builder中启动Nios II IDE;3. 在Nios II IDE工作平台上,新建C/C+ Application工程;4. 选择工程模板;5.

5、 为C/C+应用工程添加源代码;6. 设置系统库格式7. 编译C/C+应用工程;8. 调试代码:Debug As- Nios II Hardware;至此,例图如下:9. 设置适当的断点;10. 查看变量内容和变量的值;11. 改变外部硬件变量值,再次编译调试程序;12. 验证程序功能;13. 终止进程,保存文件;Task 2:驱动1602液晶显示(一).1602驱动原理 LCD1602控制芯片HD44780内部嵌入了字符液晶模块YM1602C,通过LCD的引脚,以高低电平组合构成HD44780指令,实现对LCD字符液晶显示的控制。LCD1602有一个业界认可的标准字符集,通过相关的指令组合,

6、按照我们的需求,可以显示字符集中包含的字符。除了片内自带的系统字符,LCD1602还可以通过用户的软件编程,实现自定义字符的显示,如显示汉字。(二).基本流程13. 打开quartus软件;14. 新建工程,选择开发板类型;15. 输入程序源代码(硬件描述语言选择自己熟悉的语言);至此,例程如图(仅给出修改成我的名字的代码部分:ZENG LAN WEI GUANG GONG):16. 编译程序;17. 开发板连接到电脑;18. 下载程序;19. 验证功能;Task 3:DE2VGA(一).VGA显示原理 VGA显示到屏幕上主要依靠显示缓冲区、控制电路和BIOS程序组成。其中控制电路主要完成时序

7、发生、显示缓冲区数据操作、主时钟选择和D/A转换等功能;显示缓冲区提供显示数据缓存空间;视频BIOS作为控制程序固化在显示卡的ROM中。(二).基本设计方法20. 打开编译环境,例如quartus ii;21. 建立工程,选择目标器件、语言种类;22. 输入工程源代码;23. 编译工程;至此,可以看一下电路原理图,例图如下:24. 开发板连接到电脑;25. 下载程序;26. 验证功能;至此,验证的功能图如下:Task 4:基于DE2 的uClinux 移植及应用开发(一).Nios II 硬件定制27. 添加 SOPC 组件 在 Quartus II 新建工程“NiosLinux”; 选择目标

8、器件EP2C35F672C6; 启动SOPC Builder; 添加cpu_0 (Nios II); 添加uart_0 串口组件; 添加epcs_controller EPCS16 控制器; 添加timer_0 定时器; 添加Flash 存储器; 添加sdram_0 存储器; 添加jtag_uart_0调试组件; 添加led_green 绿LED 灯; 添加button_pio 4 位输入PIO; 添加DM9000 以太网控制器;至此,完成的Nios II硬件平台例图如下;28. 自动设置基地址:System-Auto Assign Base Addresses;29. 自动设置中断优先级:击

9、System-Auto Assign IRQs;30. 生成系统;31. 生成PTF系统文;32. 添加复位延时控制:Reset_Delay.v;33. 补充锁相环PLL:SDRAM_PLL.v;34. 保存.sof 配置文件和生成.v 软核verilog HDL 文件;35. 全编译:Processing - Start Compilation;36. 把代码下载到DE2开发板上第二阶段:Synopsys IC设计软件入门Lab 1-1:Basic Synthesis Design Flow一启动前准备右键打开终端,输入 bashsource my_bashrccd SYNOPSYScd l

10、ab1design_vision随后会启动一个design_vision界面二基本流程(一).查错与改错1.读入文件:读入“lab1.v”:使用主菜单“File/Read”读取“Lab1.v”,存在什么问题?-Errror Message: ver-9522.查找错误:在dc_shell-xg-g(dv命令行)里输入(注意井号前有一个空格):man #error_no3,修改错误:(你可以使用vi编辑器打开或者其他的文件编辑器)在“output 8:0 z;”之后多加一行“reg 8:0 z;”或者“output reg 8:0 z;”4.再次打开:“lab1.v”看看是否还有error或者w

11、arning,如果有,是什么error或者warning?-Warning Message: ELAB-2925.再次改错:-修改“always (a or b or c)begin”这行改成“always (a or b or c or sel) begin”(二).编译与综合1.编译设计:点击dv菜单栏Design-Compile Design 综合之后,看看顶层视图,有什么发生?为什么“lab1_DW01_add_0”会出现?-因为合成之后DC会将RTL Code内的“+”,用实际的DesignWare Library取代之。2.创建原理图看看综合后的结果。有多少个加法器在综合后被使用?

12、由图知有1个(因为有用到Resource Sharing技巧)。3.查看加法器结构:选中“lab1_DO01_add_0”后点击“Create Design Schematic”按钮看看这个加法器的结构。这个被综合的加法器是什么种类的?cla或者ripple或者其他形式?-由图观测可猜测是Ripple adder类型。(三).生成报告1.生成结构报告:选中“lab1”后再点击Design-Report Design Resources,看看编译后的加法器是什么种类的?由图知,的确是rpl类型。2生成面积报告:点击dv菜单Design-Report Area3生成时间报告:点击dv菜单Timin

13、g-Report Timing由图知,面积是321um平方,时间是1.194ns。(四).设定约束(本实验为时间约束)1.选择端口:在元件视图中,选择所有的输入输出端口2.设定约束:点击Attributes-Optimization Constraaints-Timing Constraints,设置最大延迟为1然后重做9-13步,对比设定约束前后变化。(五).修改元件类型1原理图对比:点击“Create Design Schematic”,看看加了约束后的加法器结构。2.加法器结构对比:加法器被综合成cla还是ripple还是bk还是pprach还是其它形式?-由图知,加法器被综合成了ppa

14、rch类型了。3.分析原因:什么原因导致这个?-因为我们刚刚有针对Combination电路设定Timing Constraints ,Tool随意帮我们挑选一个可以满足1ns以内完成计算的加法器。4.那我们如何把这个加法器的结构改为cla类型呢?在dv命令行输入:set implementation cla r2965.结构报告对比:.点击Design-Report Design Resources,看看加法器被DC定义为什么?-由图知,加法器被综合成cla类型了6.面积报告对比:点击Design - Report Area和Timing - Report Timing,看看跟上面有什么不同?-由图知,面积是413um。7.时间报告对比:-由图知,时间是1.0000ns。Lab 2-1:Block Level Design (UMC90)一. 工程简介(一).介绍微波炉时间控制系统顶层设计包括三个块:microwave,timer和display。microwave包括一个状态机:m

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 企业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号