基于单片机的三路表决器毕业设计论文.doc

上传人:bao****ty 文档编号:132386092 上传时间:2020-05-15 格式:DOC 页数:20 大小:358.50KB
返回 下载 相关 举报
基于单片机的三路表决器毕业设计论文.doc_第1页
第1页 / 共20页
基于单片机的三路表决器毕业设计论文.doc_第2页
第2页 / 共20页
基于单片机的三路表决器毕业设计论文.doc_第3页
第3页 / 共20页
基于单片机的三路表决器毕业设计论文.doc_第4页
第4页 / 共20页
基于单片机的三路表决器毕业设计论文.doc_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《基于单片机的三路表决器毕业设计论文.doc》由会员分享,可在线阅读,更多相关《基于单片机的三路表决器毕业设计论文.doc(20页珍藏版)》请在金锄头文库上搜索。

1、 毕业设计 课 题 基于单片机的三路表决器 学生姓名 学 号 专 业 机电一体化 班 级 院(系) 机械与电子信息工程分院 指导教师 职 称 二 14年 12 月 12 日 湖南电子科技职业学院20 15 届毕业生毕业设计任务书毕业设计课题: 基于单片机的三路表决器设计 学生姓名: 彭 凯 指导教师: 陈 慧 一、选题意义及背景 本课题利用单片机C51软件进行三路表决器设计。其目的是培养学生利用单片机C51软件来编写简单的程序,对提高学生的编程能力和设计能力动手能力有较重要的意义。 学生应具备单片机的相关知识,熟悉单片机C51软件程序设计规范,能用C语言程序编写、输入、修改及调试等。二、毕业设

2、计主要内容 本课题要求单片机来设计三路表决器。3、 计划进度 第1周第2周:接受任务、准备资料、拟定方案; 第3周第5周:根据题目要求对三路表决器进行设计; 第6周:根据题目要求,编写相应程序,上机调试、修改、完善,脱机运行; 第7周:设计资料的准备、修改、完善;第8周:毕业设计答辩。 四、毕业设计应提交的材料 毕业设计开题报告及文献综述,不少于5000字的毕业设计论文,并应严格按照规范要求打印、装订。设计论文应包括:1)内容:摘要(所做的设计如有特别之处,一定要挑明); 做出来的计算器截图; 完整的程序资料,应包括所使用的C语言程序及注解 模拟调试的结果是否能满足要求。 2)要求: 要求独立

3、用单片机完成三路表决器设计。 指导教师(签名): 教研室主任(签名): 年 月 日 年 月 日 基于单片机的三路表决器 摘 要本次设计的三路表决器,是投票系统中的客户端,是 一种代表投票或举手表决的表决装置。表决时,与会有关人员只要按动各自表决器“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。在三人表决器中三人分别用手指拨动开关A1、A2、A3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电屏(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2亮;如果不通过那么实验板上L1亮;如果对某个决议有任意二

4、到三人同意,那么此决议通过,L2亮;如果对某个决议一个人或没人同意,那么此决议不通过,L1亮。关键词:投票系统系统,表决结果,决议通过AbstractThree way vote this time design, is a voting system in the client, is a voting device to vote on behalf of or a show of hands. The time of the vote, the relevant personnel as long as the respective voting device in favor of a

5、gainst waiver one button, on the screen that show the results of the vote. In three people vote in three were fingers toggle switch A1, A2, A3 to express their will, if agreed on a resolution, everyone will put the dip switch their shifting to high electric screen (above), do not agree to the dip sw

6、itch their shifting to a low level (below). The results of the vote by LED (high light) show that if the adoption of the resolution so experimental board L2 bright; if not by the then experimental board L1 bright; if there is any two to three people to agree on a resolution, the resolution passed, L

7、2 bright; if to a resolution of a person or no one agreed with this resolution, then not through, L1 light.Keywords: voting system, voting results, the adoption of the resolution 目录第一章三路表决器的概述51.1 三路表决器的功能描述51.2三人表决器的逻辑功能5第二章设计方案与论证62.1 触发方案的选择62.2表决方案的选择62.3显示方案的选择7第三章设计原理及功能说明73.1 三路表决器的原理框图73.2程序

8、设计83.3三路表决器基本原理图10第四章单元电路的设计114.1 触发电路设计114.2 表决电路设计124.3 计数部分电路设计13第五章硬件的制作与调试135.1硬件的制作135.2硬件的调试14总结15参考文献16致谢17附录1:总体电路原理图18附录2:元器件清单19第一章三路表决器的概述1.1 三路表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2亮;如果不通过那么实验板上L1亮;如果对

9、某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮1.2三人表决器的逻辑功能 表决结果与多数人意见相同。 设A0,A1,A2为三个人(输入逻辑变量),赞成为1,不赞成为0; X0为表决结果(输出逻辑变量),多数赞成X0为1,否则,X0为0. 其真值表如表1所示。 表1 “三人表决器”真值表输入逻辑变量 输出逻辑变量 A0A1A2A300000010010001111000101111011111其中A0,A1,A2为输入表决信号即为sw1,sw2,sw3指示灯,X0为输出结果即LED灯由真值表写出逻辑表达式并化简得:X0=A0*A1

10、+A0*A2+A1*A2 第二章设计方案与论证2.1 触发方案的选择触发方案的论证与选择:方案一:采用单片机作为触发器,经济实惠,性能稳定,电路简单,其中STC15F104W八引脚就足以满足整个方案的设计要求。方案二:采用“D触发器”,连接电路较为复杂,当不级联第二级时输出状态较为稳定,当输出有负载时输出信号不稳定,必须加合适的上拉或者下拉电阻,才能稳定输出。 综合考虑,若选择单片机,程序设计对于没有学习过单片机的我们,无疑是一个难题,而且不满足数电课程设计的要求。因而选择方案二,芯片选择较为经济的74ls74。2.2表决方案的选择 表决方案的论证与选择: 方案一:选用三个与非门及一个三输入与

11、非门。 方案二:选用三个与门及一个三输入或门。 两种方案的的复杂程度差不多,连接电路的设计也差不多,然而考虑到作品的性价比,第二种方案较为经济,综合考虑选择方案二。2.3显示方案的选择显示方案的论证与选择:方案一:采用液晶显示,如较为经济的LCD1602。方案二:选择计数器加译码器,再用数码管显示。方案一较为美观,显示效果好,不过不易操控,而且不如方案二直观更增加了设计成本,综合考虑选择方案二。第三章设计原理及功能说明3.1 三路表决器的原理框图 原理框图如图3-1所示:图3-1 原理方框图3.2程序设计library ieee;use ieee.std_logic_1164.all;use

12、ieee.std_logic_unsigned.all;entity bjq3 isport (a,b,c:in std_logic; y:out std_logic);end;architecture one of bjq3 isbegin y=(a and b ) or (a and c) or (b and c );end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bjq3 isport (a,b,c:in std_logic; y:out std_logic);end;architecture one of bjq3 is signal m:std_logic_vector(2 downto 0);begin m=a & b & c; y=0 when (m=000)or(m=001)or(m=010)or(m=100 ) else 1;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bjq3 isport (a,b,c:in std_logic; y:out std_logic);end;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 其它相关文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号