关于使用ModelSim中创建testbench方法

上传人:平*** 文档编号:13118910 上传时间:2017-10-22 格式:DOC 页数:3 大小:311.20KB
返回 下载 相关 举报
关于使用ModelSim中创建testbench方法_第1页
第1页 / 共3页
关于使用ModelSim中创建testbench方法_第2页
第2页 / 共3页
关于使用ModelSim中创建testbench方法_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《关于使用ModelSim中创建testbench方法》由会员分享,可在线阅读,更多相关《关于使用ModelSim中创建testbench方法(3页珍藏版)》请在金锄头文库上搜索。

1、关于使用 ModelSim 中创建 testbench 方法ModelSim 中自动创建 TestBench 的方法1. 创建工程,将需要仿真的模块加入工程,编译2. File - Open,使用 ModelSim 自带的文本编辑器打开被仿真模块3. Source - Show Language Templates4. 在显示的 Language Templates 栏目中选择“Create Testbench”5. 软件自动弹出的Create Testbench Wizzard”窗口中,在work下选择待仿真模块,按照提示走完,即自动生成对于初学者来说写 Testbench 测试文件还是比较

2、困难的,但 Modelsim 和quartus ii 都提供了模板,下面就如何使用 Modelsim 提供的模板进行操作。Modelsim 提供了很多 Testbench 模板,我们直接拿过来用可以减少工作量。对源文件编译完后,鼠标光标移到代码编辑窗后才会在菜单栏看到 source 选项,点 Source-Show Language Templates 然后会出现一个加载工程,接着你会发现在刚才的文档编辑窗口左边出现了一个 Language Templates 窗口,展开 Verilog 项,双击 Creat Testbench 会出现一个创建向导,展开工作目录添加目标文件,点击 next,弹出 testbench 配置窗口,默认就行了,然后点击finish。一个 Testbench 模板就诞生了,我们就可以在此编辑窗中添加激励代码了。本次操作软件版本为 modelsim alter starter edition 6.6d.

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号