(PLD可编程逻辑器件)实训指导书

上传人:管****问 文档编号:127643711 上传时间:2020-04-04 格式:DOC 页数:7 大小:64.15KB
返回 下载 相关 举报
(PLD可编程逻辑器件)实训指导书_第1页
第1页 / 共7页
(PLD可编程逻辑器件)实训指导书_第2页
第2页 / 共7页
(PLD可编程逻辑器件)实训指导书_第3页
第3页 / 共7页
(PLD可编程逻辑器件)实训指导书_第4页
第4页 / 共7页
(PLD可编程逻辑器件)实训指导书_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《(PLD可编程逻辑器件)实训指导书》由会员分享,可在线阅读,更多相关《(PLD可编程逻辑器件)实训指导书(7页珍藏版)》请在金锄头文库上搜索。

1、电子专业PLD实训指导书一、实训目的1、掌握基本元器件编程方法2、熟悉KHF-5型CPLD实验箱的使用3、掌握MAX+PLUS II以及CPLD DOWNLOAD软件的使用4 熟练使用VHDL语言编程二、时间地点实训中心(电子技术实验室)三、实训班级 四、指导老师冯 鸥五、实训内容内容1 KHF-5实验开发系统简介1. 系统基本特征l 配备:本实验箱配有altera低电压1k系列(3万门以上)芯片下载板l 资源:芯片门数最多达到10万门(ACEX1K100),管脚可达208脚。l 编辑方式有图形编辑,文本编辑,波形编辑,混合编辑等方式, 硬件描述语言有AHDL,VHDL,Verilog-HDL

2、等语言。l 主板功能: 配有模拟可编程器件ispPAC器件系列,突破传统的EDA实验箱一般只做数字电路实验的模式,用户可以在实验箱上通过的模拟可编程器件进行模拟电子的开发训练。 实验箱配有10个数码管,(包括6个并行扫描数码管和4个串行扫描数码管)。 个数据开关,4个脉冲开关,数据开关和脉冲开关可配合使用,也可单独使用。 AD转换,采用双AD转换,有常规的8位AD转换器ADC0809,还可以适配位数较高,速度较快的12位AD转换器MAX196。 DA转换器,采用学生所熟知的芯片DAC0832. 通用小键盘,本实验箱提供16个微动开关(4X4),可通他们方便的进行人机交互。 单片机扩展槽,由于实

3、验箱上的所有资源(如数码管、数据开关、小键盘等)都可以借用,因此通过此扩展槽可以开发单片机及单片机接口实验。 外围扩展口,为了便于开发,本实验箱还预留一个40PIN的扩展槽,用以与外围电路的联接。 模拟数字混合设计1. 4. 详细的管脚说明下面详细的介绍有关电路组成:(1)时钟源本实验器CPLD芯片由50M晶振提供振荡频率,接与P183管脚。为了方便操作,还为系统提供了约1Hz1MHz连续可调的时钟信号,接至CPLD的P78脚,通过调节短路夹J1和J2来改变其输出频率值。22.1184MHz的时钟信号接于CPLD的80脚(P80)。(2)输入开关本实验器中的开关设计新颖独特,有创意,与一般电路

4、中的开关设计不同。本实验器中有个数据开关(SW1SW16),4个脉冲开关(KP1KP4)。在通常状态下数据开关和脉冲开关为低电平。数据开关和脉冲开关可配合使用,也可单独使用。若二者配合使用,在数据开关为低电平时,按下脉冲开关则产生一个高脉冲;在数据开关为高电平时,按下脉冲开关则产生一个低脉冲。其中个数据开关与CPLD的管脚的连接情况依次为:SW1-P103,SW2-P104,SW3-P111,SW4-P112,SW5-P113,SW6-P1114,SW7-P115,SW8-P116,SW9-P119,SW10-P120,SW11-P121,SW12-P122,SW13-P125,SW14-P1

5、26,SW15-P127,SW16-P128。同时与数据开关和CPLD相应引脚相连的还有16个LED显示管,可以作为输出使用。在作为输出时,不论数据开关和脉冲开关为高电平还是低电平,均不影响其状态。 脉冲开关(KP1KP4)与CPLD的管脚的连接情况依次为P103,P104,P111, P112与数据开关SW1SW4复用CPLD管脚。脉冲开关经RS触发器去抖动之后,便可实现在数据开关为高电平时产生一个负脉冲,在数据开关为低电平时产生一个正脉冲。此电路极适合作计数器,暂存器的脉冲输入、分析测试观察用。(3)数码管显示本实验器有0个数码管(SEG1SEG10),采用共阴极段LED显示。其中SEG1

6、SEG2采用静态显示方式,SEG3SEG10采用动态扫描显示方式。数码管SEG1SEG10与CPLD的对应管脚接法为:SEG1(a,b,c,d,e,f,g,p)P161(D4),P162(D5),P163,P164(D6),P166(D7),P167,P168,P169SEG2(a,b,c,d,e,f,g,p)P170,P172,P173,P174,P175,P176,P177,P179,其中P169、P179分别接到两个数码管的小数点上。其中SEG1、SEG2的段LED显示输入端分别与个LED管相连且同时显示。LED在实验箱上的标志为D17D32分别对应P161(D4),P162(D5),P

7、163,P164(D6),P166(D7),P167,P168,P169 P170,P172,P173,P174,P175,P176,P177,P179SEG3SEG10的共阴公共端G经74138译码并反相后分别与CPLD的对应管脚相连,74138的A、B、C三个输入端分别接到CPLD的187、P186、P180管脚,由其控制实现各位分时选通,动态扫描。SEG3SEG10(a,b,c,d,e,f,g,p)的各段与CPLD引脚的对应关系为:P189、P190、P191、P192、P193、P195、P196、P197。(4)AD转换本实验器AD转换采用双AD转换,有8位AD转换器ADC0809与

8、12位AD转换器MAX196。对于ADC0809本实验器只使用了一路模拟量输入IN-1,其余个模拟量输入端均接到扩展槽COM5。用户可实现最多7路模拟量分时输入。ADD-A,ADD-B,ADD-C可选择地址,分别接到CPLD的对应管脚P36,P37,P38 。START(启动信号)与ALE(地址锁存信号)均接到CPLD的对应管脚P19。时钟CLOCK端接到CPLD的对应管脚P40。EOC(转换结束信号)接到CPLD的对应管脚P39,Enable对应的管脚P17。8位数字量输出端由低(lsb28)到高(msb21)分别接到CPLD的对应管脚P24,P25,P26,P27,P28,P29,P30,

9、P31。对于MAX196,其VDD接外电源VCC(+5V),WR写端接与P25,RD读端接与P24,INT端接与P19,6路输入与ADC0809复用,12位输出(D0D12)分别接与P26,P27,P28,P29,P30,P31,P36,P37,P38,P39,P40,P41。用户可以随意的使用任意一种。(5)DA转换在主板上在一个DA转换器,DAC0832,参考电压为VCC(5V),数字量由CPLD输入到DAC0832的DI0-DI7,与CPLD管脚的对应关系为:P132DI0,P133DI1,P134DI2,P135DI3,P136DI4,P139DI5,P140DI6,P141PDI7,

10、P16CS。模拟量输出由J3(COM2)输出。 (6)单片机扩展槽及外扩槽在主板上留有一个模拟单片机扩展槽,用于CPLD模拟单片机之用,其与CPLD的接口分别为,P0.0P0.7(3932),对应与P44,P45,P46,P47,P53,P54,P55,P56;P1.0P1.7(18),对应与P57,P58,P60,P61,P62,P63,P64,P65;P2.0P2.7(2128),对应与P75,P74,P73,P71,P70,P69,P68,P67;P3.0P3.7(1017),对应与P83,P85,P86,P87,P88,P89,P90,P92;PSEN脚对应于P194,ALE脚对应与P

11、79;RST脚对应于P18(7)RS232接口TXD(PC)接到RXD(CPLD)的P182;RXD(PC)接到TXD(CPLD)的P93(8)RS485接口RS485的DI、RD分别接CPLD的P167、P169管脚,DE、RE并联后与CPLD的P168相连。(9)键盘4X4键盘的接口电路如图3-2所示:CPLD的P120、P121、P122、P125管脚作为扫描码输出,分别接到键盘的输入端,键盘的查询输出接到CPLD的P126、P127、P128、P131四个管脚上。(10)扩展接口40PIN的扩展槽COM6:为了外扩使用,在主板上设置有一个40PIN的扩展槽COM6,该扩展槽与标准的51

12、单片机仿真机接口兼容,其接口定义如下:1-PO57、2-VCC、3-PO58、4-PO44、5-PO60、6-PO45、7-PO61、8-PO46、9-PO62、10-PO47、11-PO63、12-PO53、13-PO64、14-PO54、15-PO65、16-PO55、17-P18、18-PO56、19-PO83、20-VCC、21-PO85、22-P79、23-PO86、24-PO93、25-PO87、26-PO67、27-PO88、28-PO68、29-PO89、30-PO69、31-PO90、32-PO70、33-PO92、34-PO71、35-XTAL2、36-PO73、37-XT

13、AL1、38-PO74、39-GND、40-PO75。其中POXX表示CPLD的管脚经过电阻后与扩展口相连。26PIN的扩展槽COM5:其与CPLD对应的管脚在主板上已标明,此扩展槽可供用户根据自己的需要使用,其接口定义如下:1-PO204、2-PO205、3-PO206、4-PO207、5-PO208、6-PO7、7-PO8、8-P09、9-PO10、10-PO11、11-PO12、12-PO13、13-PO14、14-PO15、15-PO16、16-PO17、17-GND、18-DATA2、19-DATA3、20-PO160、21-DATA4、22-DATA5、23-GND、24-+12V

14、、25- -12V、26-VCC。其中DATA2、DATA3、DATA4、DATA5为CPLD的DATA7.0的部分配置管脚。内容2 编程实验内容:一 . 分频电路与12归1电路设计一 实验目的1 学习硬件描述语言描述电路的原理。2 学习分频电路的设计算法。3 学会使用AHDL进行简单的电路设计。4 学会使用VHDL进行简单的电路设计。5 掌握生成include文件并调用的方法。6 掌握VHDL语言调用子程序的方法。二 实验仪器 1PC机一台 2MAX+PLUS CPLD开发系统一套 3KHF-5型实验开发系统一套 4CPLDDN 2005型下载软件一套三 实验要求1 复习教材有关硬件描述语言

15、的章节。2 预习实验内容。3 用硬件描述语言进行电路设计。4 下载并用数码管显示结果。四 实验内容与步骤1 设计一个频电路 已知cpld信号源脉冲频率为50M,试编写一分频程序,得到一周期为1秒(频率为1Hz)的脉冲频率,并将之形成include文件。inclk锁定到pin183(50MHz)。Outputa0, Outputa1, Outputa2, Outputa3, Outputa4, Outputa5, Outputa6,分别锁定到P161(D4),P162(D5),P163,P164(D6),P166(D7),P167,P168数码管的七段上。Outputb0, Outputb1, Outputb2,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 经营企划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号