交通灯的设计建议.doc

上传人:自*** 文档编号:124935485 上传时间:2020-03-14 格式:DOC 页数:12 大小:35.50KB
返回 下载 相关 举报
交通灯的设计建议.doc_第1页
第1页 / 共12页
交通灯的设计建议.doc_第2页
第2页 / 共12页
交通灯的设计建议.doc_第3页
第3页 / 共12页
交通灯的设计建议.doc_第4页
第4页 / 共12页
交通灯的设计建议.doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《交通灯的设计建议.doc》由会员分享,可在线阅读,更多相关《交通灯的设计建议.doc(12页珍藏版)》请在金锄头文库上搜索。

1、安康学院请根据群共享下初赛文档说明,重点写好1、4、6项。你的文档就不是竞赛文档格式要求。初赛文档不要代码,只需要表述清楚你要做东西、如何做、好在何处。交通灯这一块可以考虑智能交通等,根据历史车流量自动调整通过和等待的时间,提高题目技术含量。基于VHDL的交通灯设计5 可扩展的设计特点为:(1)采用实时检测控制系统,可以更加灵活的根据道路车辆的流量来调节红、绿灯的延迟时间。(2)具有手动控制、定时控制和实时控制,可以远距离无线遥控。(3)采用串行通信,节省电缆,有利于降低成本和安装的难度。(4)电子警察系统采用高清晰图像采集系统,在图像的抓拍中,只有在红灯亮的期间才拍摄。当检测到是红灯时,紧急

2、启动拍摄系统;当遇到紧急车辆通过时不拍摄,这样有利于节省电能和存储资源。(5)电子警察拍摄系统还采用补光系统,当光检测器检测到光线不足时,拍摄时启动闪光灯,使拍摄系统基本不受天气的影响。(6)采用标准的接口,有利于模块化设计。(7)当有紧急车辆通过是,可通过微波遥控路口的红灯以让紧急车辆通过 班 级: 电 本 三 班 姓 名 : 李大良 邓佳 吕旭州 指导教师姓名: 王 庆 春 摘要:伴随着社会的发展以及人类生活水平的提高,汽车的数量在不断增加,交通事业得以蓬勃发展,而随之引起的安全问题已经不容忽视。EDA技术的发展和应用领域也在不断的扩大与深入,机械、电子、通信、航空航天、化工、矿产、生物、

3、医学、军事等各个领域的重要性日益突出。为了确保十字路口的行人和车辆顺利,畅通的通过,往往采用电子控制的交通信号来进行指挥。利用EDA技术设计交通灯来完成这个需求就显的更加迫切,同样也是非常的实用和合理。关键字:VHDL语言、交通灯、Quartus软件、Abstract:With thedevelopmentofsocietyandtheimprovementof peoplesof livingstandard,thenumberofcarsisincreasing.AlongwiththedevelopmentofEDAtechnologyanditsexpansioninapplicati

4、onfields,theEDAtechnologyinelectronicinformation,communication,automaticcontrol,computerapplicationandotherfieldsisofgrowingimportance.Withtheeverincreasingnumberofcars,trafficproblemshavebecomeincreasinglyprominent,whichleadstoitnottobefeasibleonlyrelyonmanpowertodirecttraffic.Therefore,thedesignof

5、trafficlightstocompletethisdemandwasofgreaturgent.Inordertoensurethecrossroadsofpedestrianandvehicletrafficpassthroughsmoothly,weoftenuseelectronicallycontrolledtrafficsignaltocommandthetraffic.Thefollowingistheuseofdigitalelectronicdesignofthetrafficlights.Key words: VHDL language, traffic lights,

6、Quartus software,正文:一、系统分析与设计思路1系统分析本次的设计的电路将主要会采用时序电路来实现,主要因为其实时性容易得到保证,而难点在于控制逻辑和如何保证主干道信号灯与支路信号灯的同步。2 设计思路本次中的交通灯将要求主干道绿灯至少保持2分钟(其中不包括绿灯闪的时间),在此前提下,当支路检查到有车或者有人时,主干道绿灯闪2.5秒,然后黄灯继续亮2.5秒,红灯再亮,同时支路绿灯亮,红灯灭。当支路连续5秒检测不到车或者人时,支路绿灯闪2.5秒,然后黄灯连续亮2.5秒,红灯再亮。同时主干道绿灯亮,红灯灭,支路绿灯最长持续20秒(其中不包括绿灯闪的时间)。若从绿灯闪开始车和行人即禁

7、止通行,则可保证主干道禁止通行的时间最多为30秒。支路可通过仪器来监测有无车辆和行人,对于行人,由于其不定性,需要多设置一些监测仪器来监测,如果行人想通过,需要站在其中的一个监测仪器下,当任一仪器监测到有车辆或者行人要横穿主干道时,便使输出呈现高电平,否则呈现低电平。由于主干道禁止通行的时间至多为30秒,因此无须检测有无车辆和行人。3 设计方案 主干道绿灯亮30秒后 检测支路 没有车,人的话 有车,人的话 主路:绿闪2.5秒后,黄闪2.5秒,最后红灯亮。 支路:绿灯亮,红灯灭 支路:连续5秒检测不到人时,绿闪2.5秒后,黄闪2.5秒,最后红亮。绿最长可达20秒。 主路:绿灯亮,红灯灭。 设计特

8、点:本设计以考虑主干道为主,避免了各车道不均衡所造成的十字路口交通资源的浪费,设计的交通控制系统利用对主干道和支干道所采用的不同红黄绿灯的控制,可以大幅度的提高十字路口的车辆通行效率。二 电路设计根据设计思路和该系统所具有功能,并参考相关的文献资料经行方案设计画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如下图:CLK 交通灯系统控制 扫描显示模块LED显示示三 程序仿真与分析1.控制器电路设计 总电路作为顶层模块,电路图如下:2.仿真与分析 利用Quartus II软件对本程序进行编译,其仿真波形如下:四 实验总结 通过本次的设计,实现了一个简单的交通灯信号的控制和转换

9、,让我们可以加深了认识对时序电路的控制逻辑的设计思路,为以后更加复杂,实际的交通灯的设计打下了坚实的基础,同时掌握了该设计的要领。 这次的设计,不仅让我了解怎么去设计程序,更重要的还让我学会了怎么样和别人合作。这对我而言,不仅是知识上的收获,更是精神上的丰收。同时让我知道了学无止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆!参考文献1 阎石 主编,数字电子技术基础,高等教育出版社,19982 谭会生等主编,EDA技术及应用,西安电子科技大学出版社,2001

10、3 廖裕评等主编,CPLD数字电路设计使用MAX+plus入门篇,清华大学出版社,20014 冯涛等主编,可编程逻辑器件开发技术:MAX+plus入门与提高,人民邮电出版社,20025 杨崇志,特殊新型电子元件手册,辽宁科学技术出版社,19996 彭介华,电子技术课程设计指导高等教育出版社.2000年出版.7 Mark Zwolinski, Digital System Design with VHDL, 电子工业出版社,20028 Alan B. Marcovitz Introduction to logic Design, 电子工业出版社,2002程序清单library ieee;use

11、ieee.std_logic_1164.all ;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity light isport (start : in std_logic; -定义初始化信号,高电平有效 clk: in std_logic; -定义参考时钟 car_zhi: in std_logic; -定义仪器监测到的支路有无车辆的标志,有为高电平 man_zhi: in std_logic; -定义仪器监测到的支路有无行人的标志,有为高电平 g_zhu,y_zhu,r_zhu:out std_logic;

12、-定义主干道绿黄红灯,亮为高电平 g_zhi,y_zhi,r_zhi:out std_logic); -定义支路绿黄红灯,亮为高电平 end light; architecture beh of light is signal counter_g_zhu:integer range 0 to 255; -主干道绿灯亮的计数器 signal counter_flash1: integer range 0 to 255; -主干道绿灯变红灯的计数器 signal counter_flash2: integer range 0 to 255; -支路绿灯变红灯计数器 signal counter_j

13、udge1: integer range 0 to 255; -支路绿灯亮的计数器 signal counter_judge2: integer range 0 to 255; -支路连续5秒无车辆和行人 signal f1_g_zhu,f2_g_zhu:std_logic; -主干道绿灯亮的标志,亮为高电平 signal f_g_zhi:std_logic; -支路绿灯亮的标志,亮为高电平 signal gtor_zhu:std_logic; -主干道绿灯向红灯转换的标志,高电平开始转换 signal rtog_zhu:std_logic; -主干道红灯向绿灯转换的标志,高电平开始转换 signal judge:std_logic; -判断支路是否有绿灯向红灯转换的标志,高电平开始判断 begin process(clk) begin if clkevent and clk=1 then if start=1 then -当start为高电平时,对系统初始化 f1_g_zhu=1; -主干道绿灯亮,黄和红灯灭 f2_g_zhu=1; g_zhu=1; y_zhu=0; r_zhu=0; g_zhi=0; -支路红灯亮,绿灯和黄灯灭 y_zhi=0; r_zhi=1;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 工业设计

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号