EDA技术基础实验指导书ALL.doc

上传人:自*** 文档编号:124922897 上传时间:2020-03-14 格式:DOC 页数:31 大小:732KB
返回 下载 相关 举报
EDA技术基础实验指导书ALL.doc_第1页
第1页 / 共31页
EDA技术基础实验指导书ALL.doc_第2页
第2页 / 共31页
EDA技术基础实验指导书ALL.doc_第3页
第3页 / 共31页
EDA技术基础实验指导书ALL.doc_第4页
第4页 / 共31页
EDA技术基础实验指导书ALL.doc_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《EDA技术基础实验指导书ALL.doc》由会员分享,可在线阅读,更多相关《EDA技术基础实验指导书ALL.doc(31页珍藏版)》请在金锄头文库上搜索。

1、 EDA技术基础实验指导书海南大学信息学院编目 录实验一 MAX plusII及开发系统使用 1实验二 高速四位乘法器设计 7综合性设计性实验实验三 秒表的设计 9实验四 序列检测器的设计 12实验五 数字频率计的设计 14数字密码锁 17交通灯控制器 18实验一 MAX plusII及开发系统使用一、实验目的1、 熟悉利用MAX-plus的原理图输入方法设计简单的组合电路2、 掌握层次化设计的方法3、 熟悉DXT-B型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设

2、计性实验。它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、 实验器材集中化,所有实验基本上在一套实验设备上进行。传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、 实验耗材极小(基本上没有耗材);3、 在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、 下载后,实验结果清晰;5、 实验仪器损耗

3、少,维护简单;下面,我们就本套实验设备做一个简单的介绍。(一)Max+plus10.0的使用。1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件

4、夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。第四步:注册启动Max+PlusII 软件,可以从开始-程序-Altera-Max+PlusII 打开,也可以建立一个快捷方式在桌面上。启动软件后,会有弹出一个对话框,点击是或否都可以,然后进入系统。点击菜单中的Options,然后选中License菜单项,打开弹出一个注册对话框,在注册文件路径中打开你第三步中复制位置的License 文件,然后点击OK,注册完毕。2、 max+plus软件基本设计流程注意:实验时必须严格按照上述流程进行,如实验中遇到问题,举手向老师提出,严禁随意乱做!(二)4位全加器设

5、计一个4位全加器可以由4个1位全加器构成,如图1.1所示,1位的全加器串行联接可以实现4位的二进制全加器。图1.1 4位全加器电路原理图1位全加器可以由两个半加器和一个或门构成,如图1.2所示。图1.2 全加器电路原理图1位半加器可以由与、或、非等基本门构成,如图1.3所示。图1.3 半加器电路原理图根据实验原理中,采用层次法设计一个4位全加器。四、实验步骤1、如图1.3所示,利用MAX-plus中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。注意:编译之前必须将文件设为当前文件。2、建立一个更高得原理图设计层次,如图1.2所示,利用前面生成的

6、半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。3、再建立一个更高得原理图设计层次,如图1.1所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。4、选择器件“Assign”|“Device”|“MAX7000S”|“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。然后下载,进行硬件测试,检验结果是否正确。五、实验报告要求:详细描述4位全加器的设计过程,给出各层的电路原理图、元件图(原理图)以及对应的仿真波形;给出加法器的延时情况;最后给出硬件测试的流程和结果。思考题:为了提高加法器的速度,如何改进以上设计的进位方式?附

7、录:实验箱部分说明1、按键K1K16及指示灯主板左下方有16个按键K1K16,分两排排放,上面配有16个二极管,此二极管即可作按键输入指示,也可做输出用。按键、发光二极管与下载板上CPLD/FPGA的一个I/O口通过控制芯片8间接对应相连,上、下按键各为一组,既可作电平输入也可作脉冲输入(均已经过消抖),通过主板右上角跳线来改变。下载板上只标出了对应开关的信号名Ki。当与I/O口相对应的开关Ki作为电平/脉冲输入使用时,将跳线帽电平/脉冲A(电平/脉冲B)插上/拔下即可(其中上排A、下排B按键各为一组)。表示按键向该I/O口输入一个逻辑量脉冲信号或高/低电平。当把K1K16对应的I/O口定义为

8、输出使用时,应把电平/脉冲A(电平/脉冲B) 处跳线帽拔下,指示/按键处跳线帽插上,这时按键上方对应的发光二极管可作为输出使用。2、发光二极管L1L12在主板的上方有12个发光二极管L1L12(其中L1和L12为三色),它们分别与下载板上的标识符的I/O口相连。注:L1R输出表示红灯;L1G输出表示绿灯;L1R、L1G同时输出表示黄灯。其中L12与L1输出情况相同。红、黄、绿灯可以用于做交通灯实验。3、静态显示数码管M1M4主板的上侧配有8位数码管M1M8,当跳线帽静态/动态插上,表示静态显示(四位);拔下为动态输出显示(八位)。每只数码管通过控制芯片的I/O口与下载板四个I/O口相连。下载板

9、四个IO口输出BCD码,通过译码驱动数码管。下载板与主板连接信号名M1D为PLD输出BCD码高位,M1A为BCD码低位。其他信号类推。实验二 高速四位乘法器设计一、 实验目的1.熟悉利用MAX-plus的原理图输入方法设计简单的组合电路2.掌握层次化设计的方法3.掌握高速乘法器的设计方法二、 实验原理根据乘法的运算规则,不难得出下图所示的乘法器的原理框图。4位加法器可以选择74283,b0*a,b1*a, b2*a,b3*a实际就是1位和4位的与运算,如下图所示。 由原理框图不难得出如图2.1所示的电路原理图。三、 实验内容 按以上原理实现一个高速4位乘法器四、 实验步骤1.如上图所示,利用M

10、AX-plus中的图形编辑器设计1-4的二进制乘法器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。注意:编译之前必须将文件设为当前文件。2.建立一个更高得原理图设计层次,如图2.1所示,利用前面生成的1-4的二进制乘法器和调用库中的74283元件设计一高速4位乘法器3.选择器件“Assign”|“Device”|“MAX7000S”|“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。然后下载,进行硬件测试,检验结果是否正确。五、实验报告要求:详细描述4位乘法器的设计过程,给出各层的电路原理图、元件图(原理图)以及对应的仿真波形;给出乘法器的延时情况

11、;最后给出硬件测试的流程和结果。思考题:除了以上方法是否还有其他实现高速乘法的方法?图2.1高速4位乘法器电路原理图实验三 秒表的设计一、实验目的:1、 熟练利用VHDL语言进行数字系统设计;2、 掌握数字系统的设计方法自顶向下的设计思想;3、 掌握计数器的设计与使用;4、 根据秒表的功能要求设计一个秒表;二、实验设备:PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干三、实验要求:1、 有秒、分计数,数码扫描显示输出;2、 有清零端和计数使能端;3、 在功能允许的情况下,可自由发挥;四、实验原理:1、 功能描述:秒表是一种计时的工具,有着很广泛的用途。本实验中的秒表要求有两

12、个功能按钮:一个是计数和停止计数按钮,当第一次按下此按钮时,秒表开始计数,再一次按下时,秒表停止计数,并显示所计的数字;另一个是清零按钮,当按下此按钮时,秒表清零。在数码管上采用扫描显示输出。2、 基本原理:本实验中用到的主要元件有计数器、控制逻辑、数据选择器和译码器等。秒、分都是60 进制计数,所以必须采用两个60 进制的计数器(或6 进制计数器与10 进制计数器的组合);控制逻辑主要是用来实现计数和清零。基本方框图如下:注意:计数器必须有进位输出、计数使能端和清零端。3、 自顶向下的设计方法:自顶向下的设计方法是数字系统设计中最常用的设计方法,也是基于芯片的系统设计的主要方法。它的基本原理

13、框图如下:自顶向下的设计方法利用功能分割手段将设计由上到下进行层次化和模块化,即分层次、分模块进行设计和仿真。功能分割时,将系统功能分解为功能块,功能块再分解为逻辑块,逻辑块再分解为更少的逻辑块和电路。如此分割,逐步的将系统细化,将功能逐步具体化,模块化。高层次设计进行功能和接口描述,说明模块的功能和接口,模块功能的更详细描述在下一设计层次说明,最底层的设计才涉及具体寄存器和逻辑门电路等实现方式的描述。(注意:这里所说的模块可能是芯片或电路板。)五、实验步骤:1、 采用自顶向下的设计方法,首先将系统分块;2、 设计元件,即逻辑块;3、 一级一级向上进行元件例化(本实验只需例化一次即可),设计顶

14、层文件。六、实验报告1、写出实验源程序,画出仿真波形;2、总结实验步骤和实验结果;3、心得体会本次实验中你的感受;你从实验中获得了哪些收益;本次实验你的成功之处;本次实验中还有待改进的地方;下次实验应该从哪些地方进行改进;怎样提高自的实验效率和实验水平等等。4、完成实验思考题。七、问题与思考设计一个600的递减计数器。实验四 序列检测器的设计一、实验目的:1、掌握序列检测器的工作原理;2、学会用状态机进行数字系统设计;二、实验器材:PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干三、实验原理与内容:1、 序列检测器的基本工作过程:序列检测器用于检测一组或多组由二进制码组成的脉冲序列信号,在数字通信中有着广泛的应用。当序列检测器连续收到一组串行二进制码

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 往来文书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号