基于FPGA的数字电压表的设计与实现

上传人:飞*** 文档编号:12396808 上传时间:2017-10-18 格式:DOC 页数:43 大小:651.50KB
返回 下载 相关 举报
基于FPGA的数字电压表的设计与实现_第1页
第1页 / 共43页
基于FPGA的数字电压表的设计与实现_第2页
第2页 / 共43页
基于FPGA的数字电压表的设计与实现_第3页
第3页 / 共43页
基于FPGA的数字电压表的设计与实现_第4页
第4页 / 共43页
基于FPGA的数字电压表的设计与实现_第5页
第5页 / 共43页
点击查看更多>>
资源描述

《基于FPGA的数字电压表的设计与实现》由会员分享,可在线阅读,更多相关《基于FPGA的数字电压表的设计与实现(43页珍藏版)》请在金锄头文库上搜索。

1、基 于 FPGA 的 数 字 电 压 表 的 设 计 与 实 现DESIGN AND IMPLEMENTATION OFDIGITAL VOLTAGE METER BASED ON FPGA专 业:电子信息工程姓 名: 指 导 教 师:申请学位级别: 学 士论文提交日期: 学位授予单位: 摘 要数字电压表简称 DVM,是一种通过采用数字化测量技术,把连续的模拟量(直流输入电压) 转换成离散的、不连续的数字形式,并将转化结果加以显示的仪表。本设计研究的电压表主要的设计核心是 Xilinx 公司的 Vritex-4 FPGA 器件,主要分为下面几部分:数据处理模块实现 TVL571 数字量对应 B

2、CD 码的变换和处理;显示控制模块实现 LED 段码的产生。显示部分要求在三个七段数码管上显示电压值,要求保留小数点后两位数字。软件要求用 ISE10.1 环境,用VHDL 语言编写数字电压表各模块的功能并进行调试与下载到 FPGA 板上进行验证。关键词:VHDL;数字电压表;FPGA;A/D;Xilinx ;ISE10.1ABSTRACTDigital voltmeter is a voltage measuring instruments that is referred to as DVM and it is most common in intelligent instruments.

3、DVM use digital measurement technology and make the continuous analog (DC input voltage) into discontinuous, discrete digital form and display on LED or LCD. The design of this voltmeter main core is the Xilinxs Vritex-4FPGA devices.The voltmeter mainly divided into the following sections: data proc

4、essing module is mainly depend on the TLV571 and based on this AD realizing the transforming BCD between digital measurement;dispay module realiazed the producing of the part code. The voltmeter requires the ability to display the measured voltage value in three seven-segment digital tube, retaining

5、 two significant figures after the decimal point. The software of the design is Xilinx companys EDA development environment ISE10.1 and using the VHDL language to write the function of the voltmeters each module and debug.Downloading the program to the the FPGA board to verify the result.Key words:V

6、HDL;volmeter ;FPGA ;A/D;Xilinx;ISE10.122目 录(目录页空着,我统一调整)1 绪 论 .11.1 数字电压表的研究背景 .11.2 数字电压表的的研究意义 .11.3 国内外研究概况及应用前景 .22 系统的软件开发环境 .42.1 XILINX 公司的 ISE 工具软件 .42.1.1 软件界面 .42.1.2 设计流程 .52.1.3 波形仿真流程 .62.2 硬件描述语言 VHDL.72.2.1 VHDL 语言简介 .72.2.2 VHDL 语言的特点优势 .82.2.3 设计中用到的语言结构 .83 系统的硬件组成 .133.1 EDA 技术概述 .133.2 现场可编程门阵列 FPGA.153.3 SEED-XDTK-V4 实验平台 .193.3.1 Vritex-4 系列器件结构和特性 .193.3.2 CPLD 功能模块 .203.3.3 数码管 .203.3.4 ADTLV571 模块 .214 数字电压表的设计 .244.1 系统的整体设计方案 .244.2 模数转换控制模块 .244.3 数字电压转 BCD 模块 .254.4 数码管显示模块 .274.5 管脚约束文件 .285 数字电压表的实现 .306 结 论 .33参考文献 .34致 谢 .35附 录 .

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号