VHDL的串行同步通信SPI设计说明

上传人:xmg****18 文档编号:122369484 上传时间:2020-03-05 格式:DOC 页数:15 大小:301.50KB
返回 下载 相关 举报
VHDL的串行同步通信SPI设计说明_第1页
第1页 / 共15页
VHDL的串行同步通信SPI设计说明_第2页
第2页 / 共15页
VHDL的串行同步通信SPI设计说明_第3页
第3页 / 共15页
VHDL的串行同步通信SPI设计说明_第4页
第4页 / 共15页
VHDL的串行同步通信SPI设计说明_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《VHDL的串行同步通信SPI设计说明》由会员分享,可在线阅读,更多相关《VHDL的串行同步通信SPI设计说明(15页珍藏版)》请在金锄头文库上搜索。

1、 课课 程程 设设 计计 课程名称 电子技术综合设计与实训 题目名称 基于 VHDL 的串行同步通信 SPI 设计 学生学院 自动化 专业班级 电子信息科学与技术 学 号 3107001554 学生姓名 陈振添 指导教师 蔡述庭 2009 年 12 月 21 日 广东工业大学课程设计任务书 题目名称 基于 VHDL 的串行同步通信 SPI 设计 学生学院 自动化 专业班级 电子信息科学与技术 姓 名 陈振添 学 号 3107001554 一 课程设计的内容 设计一个同步串行通讯 SPI 二 课程设计的要求与数据 设计要求包括 1 深入了解串行通信的方案内容和协议 思考设计方法 2 设计串行通信

2、方案 并写好传输程序 VHDL 3 下载到 DE2 板调试 检测其可行性 三 课程设计应完成的工作 1 利用 VHDL 语言编程实现 SPI 2 利用 DE2 板对所设计的思想进行验证 3 总结 VHDL 设计结果 撰写课程设计报告 四 课程设计进程安排 序号设计各阶段内容地点 起止日 期 1 任务的确定 spi 实验 2 号楼 214 12 14 2 查找资料 实验 2 号楼 307 12 15 3 编写 SPI 的各个模块 VHDL 程序 实验 2 号楼 216 12 16 4 仿真 分配管脚 实验 2 号楼 214 12 17 5 验证修改 验收 实验 2 号楼 214 12 18 6

3、整理说明书 报告实验楼 12 19 五 应收集的资料及主要参考文献 1 PIC 单片机原理及应用 第 3 版 北京航天航空大学出版社 2 黄智伟 王彦 FPGA 系统设计与实践 M 北京 电子工业出版社 2005 发出任务书日期 年 月 日 指导教师签名 计划完成日期 年 月 日 基层教学单位责任人签章 主管院长签章 摘摘 要要 本设计是用 Quartus 作为开发环境 以 DE2 板为硬件平台实现的 SPI 同步串行通讯 设 计过程方便 根据接收和发送两个主要部分实现了 SPI 的基本功能 此外 该设计还实 现了波特率发生器 数码管显示的功能 用 DE2 板实现具有电路简洁 开发周期短的优

4、点 充分利用了 EDA 设计的优点 开发过程用了 VHDL 硬件描述语言进行描述 从底层 设计 分模块进行 充分提高了设计者的数字逻辑设计的概念 关键词 关键词 SPI 同步串行通讯 Quartus DE2 板 VHDL 硬件描述语言 目 录 1 1 引言引言 6 6 2 2 SPISPI 简介简介 6 6 2 1 SPI 协议和工作原理 6 2 2 波特率 7 3 3 模块设计模块设计 7 7 3 1 顶层模块 RTL 综合 7 3 2 波特率发生器模块 8 3 3 SDO 数据发送模块 9 3 4 SDI 数据接收模块 10 3 5 数码管显示模块 11 4 4实验验证实验验证 1212

5、4 1 实验验证方案选择 12 4 2 实验现象 12 5 5 结论与问题讨论结论与问题讨论 1212 5 1 完成设计要求的程度 12 5 2 遇到的问题及解决方法 13 5 3 存在的不足及改进思路 13 5 4 心得体会 13 参考文献参考文献 1414 1 1 引言引言 串行扩展通信接口是器件间进行数据交换的平台和重要渠道 主控同步串 行通信模块主要应用于系统内部近距离的串行通讯 如 SPI I C 等 SPI 是英文 Serial Peripheral Interface 的缩写 中文意思是串行外围设备 接口 SPI 是 Motorola 公司推出的一种同步串行通讯方式 是一种三线同

6、 步总线 因其硬件功能很强 与 SPI 有关的软件就相当简单 使 CPU 有更 多的时间处理其他事务 2 2 SPISPI 简介简介 2 12 1 SPISPI 协议和工作原理协议和工作原理 顾名思义 串行接口的数据传输方式是串行的 即数据是一位一位地进行传输 虽然串行接 口的传输方式导致其传输速度会比较慢 但是它却具有较强的抗干扰能力 并能有较长的 传输距离 RS232 口的最大传输距离为 15m SPI 接口主要应用在 EEPROM FLASH 实时时钟 AD 转换器 还有数字信号处理器和数字 信号解码器之间 SPI 是一种高速的 全双工 同步的通信总线 并且在芯片的管脚上只 占用四根线

7、节约了芯片的管脚 同时为 PCB 的布局上节省空间 提供方便 正是出于这 种简单易用的特性 现在越来越多的芯片集成了这种通信协议 比如 AT91RM9200 SPI 的通信原理很简单 它以主从方式工作 这种模式通常有一个主设备和一个或多个从 设备 需要至少 4 根线 事实上 3 根也可以 单向传输时 也是所有基于 SPI 的设备共有 的 它们是 SDI 数据输入 SDO 数据输出 SCK 时钟 CS 片选 1 SDO 主设备数据输出 从设备数据输入 2 SDI 主设备数据输入 从设备数据输出 3 SCLK 时钟信号 由主设备产生 4 CS 从设备使能信号 由主设备控制 其中 CS 是控制芯片是

8、否被选中的 也就是说只有片选信号为预先规定的使能信号时 高电位或低电位 对此芯片的操作才有效 这就允许在同一总线上连接多个 SPI 设备成 为可能 接下来就负责通讯的 3 根线了 通讯是通过数据交换完成的 这里先要知道 SPI 是串 行通讯协议 也就是说数据是一位一位的传输的 这就是 SCK 时钟线存在的原因 由 SCK 提供时钟脉冲 SDI SDO 则基于此脉冲完成数据传输 数据输出通过 SDO 线 数据在时钟 上升沿或下降沿时改变 在紧接着的下降沿或上升沿被读取 完成一位数据传输 输入也 使用同样原理 这样 在至少 8 次时钟信号的改变 上沿和下沿为一次 就可以完成 8 位 数据的传输 S

9、PI 是一个环形总线结构 由 ss cs sck sdi sdo 构成 其时序其实很简单 主要 是在 sck 的控制下 两个双向移位寄存器进行数据交换 假设下面的 8 位寄存器装的是待发送的数据 10101010 上升沿发送 下降沿接收 高 位先发送 那么第一个上升沿来的时候 数据将会是 sdo 1 寄存器中的 10101010 左移一位 后 面补入送来的一位未知数 x 成了 0101010 x 下降沿到来的时候 sdi 上的电平将锁存到 寄存器中去 那么这时寄存器 0101010sdi 这样在 8 个时钟脉冲以后 两个寄存器的内 容互相交换一次 这样就完成里一个 spi 时序 2 22 2

10、波特率波特率 这是一个衡量通信速度的参数 它表示每秒钟传送的 bit 的个数 例如 300 波特表示 每秒钟发送 300 个 bit 当我们提到时钟周期时 我们就是指波特率例如如果协议需要 4800 波特率 那么时钟是 4800Hz 这意味着串口通信在数据线上的采样率为 4800Hz 通 常电话线的波特率为 14400 28800 和 36600 波特率可以远远大于这些值 但是波特率和 距离成反比 串行 口每秒发送或接收数据的码元数为传码 单位为波特 也叫波特率 若发送或 接收一位数据所需时间为 T 则波特率为 1 T 相应的发送 或接收时钟为 1 T Hz 发送和接收设备的波特率应 一致 位

11、 同步是实现收发双方的码元同步 由数据传输 系统的同步控制电路实现 发送端由发送时钟 的定时脉冲对数据序列取样再生 接收端由 接收时 钟的定时脉冲对接收数据序列取样判断 恢复原来 的数据序列 因此 接收时钟和发送时 钟必须同频 同相 这是由接收端的定时提取和锁相环 电路实现 的 传码率与位同步必须 同时满足 否则 接收设备接收不到有效信息 3 3 模块设计模块设计 3 13 1 顶层模块顶层模块 RTLRTL 综合综合 顶层文件设计 将波特率发生模块 数据发送模块 数据接收模块 和数码显示模块 通过例化语句组合成总的顶层模块 其中数据发送模块为并行输入串行输出模块 在时钟 的上升沿发送一位数据

12、 共需要 8 个时钟脉冲即可发送完一字节数据 数据接收模块为串 行输入并行输出模块 串行输入的数据来自数据发送模块 在时钟的上升沿接收数据 即 由 自己发送的数据自己同步接收 来模拟主从器件间数据的全双工传输 数码管显示模 块则是循环显示 0 8 数字 每循环一次代表传输完一字节 以下是顶层模块 VHDL 源程序 library ieee use ieee std logic 1164 all use ieee std logic unsigned all entity spi is port clk stop load in std logic data in std logic vecto

13、r 7 downto 0 deng out out std logic vector 7 downto 0 shuma out out std logic vector 6 downto 0 end spi architecture one of spi is component sdi port clk sdi in std logic sdi in in std logic load in std logic shuma out integer range 0 to 8 sdi out out std logic vector 7 downto 0 end component compon

14、ent sdo port clk sdo load in std logic sdo in in std logic vector 7 downto 0 sdo out out std logic end component component SHUMG port num in INTEGER RANGE 0 TO 8 dout out std logic vector 6 downto 0 end component component fenpin port clk stop in std logic clok out std logic end component signal A B

15、 std logic signal C INTEGER range 0 to 8 begin u1 sdi port map sdi out deng out clk sdi A sdi in B shuma C load load u2 sdo port map sdo out B sdo in data in load load clk sdo A u3 SHUMG port map dout shuma out num C u4 fenpin port map clk clk stop stop clok A end one 综合后为 3 23 2 波特率发生器模块波特率发生器模块 由于

16、 SPI 同步串行通讯的缺点是波特率不高 通常常用的 SPI 波特率有 2400 4800 9600 19200 等比较低的波特率 晶振的频率一般都比较高 需要分频后才 能供给 SPI 使用 假设采用 6MHZ 的晶振作为外部时钟 那么要产生 9600 波特率的时钟信 号 则需要对 6MHZ 的时钟进行 625 分频 除此之外 为了提高接收电路接收数据的准确度 采取 过采样法 对发送来的同一个数据进行多次采样 这里对数据进行三次采样取平均 值 输入 6 MHz 的时钟 经过计数分频后得到 9 6 0 0 Hz 的接收时钟信号和脉冲出现 的频率是波特率的 3 倍的采样时钟信号 下面是实现该功能的 VHDL 程序 library ieee use ieee std logic 1164 all entity fenpin is port clk stop in std logic clok clk3 out std logic end fenpin architecture one of fenpin is begin process clk variable counter intege

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号