EDA课程设计电子密码锁

上传人:xmg****18 文档编号:121223640 上传时间:2020-02-19 格式:DOC 页数:39 大小:208KB
返回 下载 相关 举报
EDA课程设计电子密码锁_第1页
第1页 / 共39页
EDA课程设计电子密码锁_第2页
第2页 / 共39页
EDA课程设计电子密码锁_第3页
第3页 / 共39页
EDA课程设计电子密码锁_第4页
第4页 / 共39页
EDA课程设计电子密码锁_第5页
第5页 / 共39页
点击查看更多>>
资源描述

《EDA课程设计电子密码锁》由会员分享,可在线阅读,更多相关《EDA课程设计电子密码锁(39页珍藏版)》请在金锄头文库上搜索。

1、.专业整理.课程设计(论文)任务书 电气与电子工程 学院 电子信息工程 专业 2012- 1 班 一、课程设计(论文)题目 电子密码锁二 二、课程设计(论文)工作自 2015 年 1 月 5 日起至 2015 年 1 月 16 日止。三、课程设计(论文) 地点: 电子测控实验室 四、课程设计(论文)内容要求:课程设计任务:1.具有上锁键和开锁键,每次上锁之前要先按上锁键,然后自设密码(3位),开锁时要先按开锁键,然后输入上锁时设定的密码开锁;2.用led灯亮灭代表开锁和上锁;3.密码错误报警并锁定电子锁; 2)课程设计论文编写要求(1)课程设计任务及要求(2)总体电路设计(3)模块设计-给出各

2、个模块的详细设计思路(4)管脚绑定-列表或截图给出管脚绑定情况(5)仿真及FPGA开发板调试-给出运行仿真波形截图,分析运行结果。(6)设计体会与小结-设计遇到的问题及解决办法,通过设计学到了哪些新知识,巩固了哪些知识,有哪些提高。(7)参考文献(必须按标准格式列出,可参考教材后面的参考文献格式)(8)报告按规定排版打印,要求装订平整,否则要求返工;(9)课设报告的装订顺序如下:封面-任务书-中文摘要-目录-正文-附录(代码及相关图片)(10)严禁抄袭,如有发现,按不及格处理。 .学习帮手.3)课程设计评分标准: (1)学习态度:10分;(2)系统设计:20分;(3)代码调试:20分;(4)回

3、答问题:20分;(5)论文撰写:30分。4)课程设计进度安排进度安排:本设计持续10天,其中最后一天为答辩时间。第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10天:验收合格后进行答辩。学生签名:2015 年 1 月 5 日课程设计(论文)评审意见(1)学习态度(10分):优()、良()、

4、中()、一般()、差(); (2)系统设计(20分):优( )、良()、中()、一般()、差(); (3)代码调试(20分):优()、良()、中()、一般()、差();(4)回答问题(20分):优()、良()、中()、一般()、差();(5)论文撰写(30分):优()、良()、中()、一般()、差(); 评阅人: 职称: 2015 年 1 月 17 日 .学习帮手. 中文摘要 作为最方便最经济的,当属应用编程方法实现电子密码锁。无论C语言还是c+,也无论是java还是VHDL,编程的思路大致相同。利用所学到的知识VHDL,在这我将设计一个具有较低成本的电子密码锁,本课设报告讲述了我整个设计过程

5、及收获。本密码锁在上锁开关为1,开锁开关为0时进入上锁状态,此时上锁状态指示灯亮,在三个开关上输入三个初始密码,此时上锁成功指示灯亮,当上锁开关为0,开锁开关为1时进入开锁状态,此时开锁状态指示灯亮,在三个开关上输入三个开锁密码,如果这三个开锁密码与三个初始密码相同则开锁成功指示灯亮,并且蜂鸣器不报警,如果这三个开锁密码与三个初始密码不相同则开锁成功指示灯不亮,并且蜂鸣器报警。由于电路板所提供的开关个数只有八个,所以上锁用去三个,开锁用去三个,剩下两个用作使能端刚好用完,所以所有密码组合情况只有八种情况,如果开关个数能再多点,则可以设计密码更复杂的电子密码锁,但基本原理都是一样的,所以在此仅以

6、三位的密码为例进行设计。摘要:电子密码锁 上锁状态 开锁状态 三位密码 .学习帮手. 目录一、 课程设计任务及要求1二、 总体电路设计1三、 模块设计2四、 管脚绑定7五、 仿真及FPGA开发板调试8六、 设计体会与小结11七、 参考文献12八、 附录:13 .学习帮手.1、 课程设计任务及要求 本次课程设计共有27个题目,其中有两个都是电子密码锁的设计,但两者的要求是不同的,这里讲的是第二个题目,可称作为“电子密码锁二”。电子密码锁二 1.具有上锁键和开锁键,每次上锁之前要先按上锁键,然后自设密码(3位),开锁时要先按开锁键,然后输入上锁时设定的密码开锁2.用led灯亮灭代表开锁和上锁3.密

7、码错误报警并锁定电子锁2、 总体电路设计 本次电子密码锁的设计,开始时在与几个同学的讨论中和自己的综合考虑下,前前后后尝试着应用了多种方法,各个模块都尝试过,可谓是一波三折,比如在上锁模块最先是想调用数据存储器RAM或者ROM的,但是一来本次课设所要存储的数据很少,有点大材小用的感觉,二来它们还要编写初始文件(以.mif或者.hex结尾的初始文件),感觉都不是太理想,当然也尝试过几次,中间出了很多问题:初始文件不会写,时序分析比较发杂等等,后来又和同学讨论试图用电平触发型的寄存器,但不知怎么的在时序分析时控制它的输出总是会出现延迟,本来是在使能端enable=“10”时把输入付给输出,但它总在

8、enable变化至下一个状态时才输出,这个问题询问了老师同学都没有解决,后来换成D触发器,所以这个问题现在也没搞懂。经过很多次尝试都不起作用后,后来终于想起所学过的D触发器也有记忆的功能,才得以解决这个问题。另外在比较模块,本来很简单的逻辑却在与同学的讨论中想复杂了,要用状态机,也尝试过很多次,出现了好多莫名其妙的问题,程序之类的都没错,可是编译时却总是报错,后来又与同学讨论,终于用最简单的逻辑解决了。 其实这个课设任务也不是太难,就是密码存储和比较两个功能,其他的都很简单,就是LED的控制和蜂鸣器的控制,所以本课设分成三个模块就可以搞定:第一个是存储模块即后面的上锁模块,像上面所说的用D触发

9、器来实现,第二个是比较模块即开锁模块,一个if语句就能搞定,最后是密码输入正确与否以及蜂鸣器的控制,很简单,不在话下,具体框图如下所示:开锁密码输入LED和蜂鸣器正误判断的外电路显示比较结果控制LED和蜂鸣器初始密码输出密码存储(上锁)初始密码输入密码比较(开锁) 图2-1 设计流程3、 模块设计1.密码存储(上锁)模块 如前所述,本部分本来想用数据存储器RAM或ROM,或者是寄存器,但出现了很多问题,所以最后采用D触发器,下面简单介绍一下D触发器及其工作原理。(1).D触发器的VHDL描述 如上所述,D触发器只有在时钟上升沿到来时,并且使能端允许上升沿有效时,把输入付给输出,而且是把上升沿到

10、来的前一个时刻的输入付给输出,假如不在时钟上升沿或者使能端不允许上升沿有效,则后来的输入不能付给输出,换句话说,输出不再随输入的变化而变化,从而达到数据存储的目的,当然这样的存储是要在不断电的情况下才能存储。 D触发器的VHDL描述语句为(假设使能端为enable,”10”有效): if clkevent and clk=1 then If enable=“10”then Dout=din; End if; end if;上述语句便是一个D触发器的VHDL描述,用不完整的if语句if.end if实现,注意到该语句只提到在满足上升沿并且上升沿有效时把输入din付给输出dout,并没说在其他情况

11、下输入和输出间的关系,VHDL规定其他情况是保持状态,即在满足前述条件时原来输入给输出的是1,则在不满足时输出就是1,原来输入给输出的是0,则在不满足时输出就是0;正是由于这个规定,使D触发器具有了数据存储的功能。(2).模块说明 本模块正是利用了D触发器的上述优点,才得以解决燃眉之急。本模块例化的电路图和时序图如下 图3-1上锁模块的原理图 图3-2上锁模块的时序图 本模块还有一点值得注意的是,鉴于对满足课设要求和对所有模块的控制,采用了语句 Enable=shangsuo&kaisuo;即把两个使能端shangsuo和kaisuo并置起来付给enable,假如shangsuo和kaisuo

12、分别为1和0,则enable就是“10”;以此类推。 如电路图所示,led1是上锁状态的指示灯,led2是上锁成功的指示灯,在默认密码“000”下led2不会亮,只有在其他值时才会亮。如时序图所示,只有在shangsuo=1并且kaisuo=0时即enable=“10”时,而且时钟上升沿时把输入值110付给输出,在此之前输出值一直是默认值000,之后保持110不变;当enable=“10”时led1=1,其他情况为0,实现了上锁状态的指示功能,当输出为110时led2为1,其他情况为0,实现了上锁成功的指示功能。 可见采用D触发器作为少量数据存储的器件是很有用的,而且这个时钟clk可以直接挂在

13、任何频率上,只要有上升沿即可,不需分频进程。2.密码比较(开锁)模块 这个模块开始想用状态机,但出现了很多错误,经过慎重考虑,直接采用了一个if语句,所以变得相对简单,主要就是再输入一个三位数,与前个模块送来的三个初始密码进行比较看是否相同,相同则输出111,不同则输出000,供下一个模块检测用。它的模块电路图与时序图如下所示: 图3-3开锁模块的原理图 图3-4开锁模块的时序图 .学习帮手. 如上电路图所示,本模块仍然由shangsuo和kaisuo两个控制端所控制,依旧把它们并置起来给enable,并且为了与密码存储模块所区分,本模块用enable=“01”有效来控制,dout0端口是前个模块的输出,本模块有效时它上面的三个位线电平不再变化,din1和dout1是本模块的输入和输出,输入作为开锁时的密码输入,也是三位,输出取值为000或者111,给下一个模块控制之用,led1在enable=“01”时点亮,指示开锁状态其他情况为0。 如时序图所示只有在shangsuo=0并且kaisuo=1即enable=“01”时才开启比较功能,如若d

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号