VHDL各种计数器程序文件

上传人:xmg****18 文档编号:121223347 上传时间:2020-02-19 格式:DOC 页数:13 大小:214.50KB
返回 下载 相关 举报
VHDL各种计数器程序文件_第1页
第1页 / 共13页
VHDL各种计数器程序文件_第2页
第2页 / 共13页
VHDL各种计数器程序文件_第3页
第3页 / 共13页
VHDL各种计数器程序文件_第4页
第4页 / 共13页
VHDL各种计数器程序文件_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《VHDL各种计数器程序文件》由会员分享,可在线阅读,更多相关《VHDL各种计数器程序文件(13页珍藏版)》请在金锄头文库上搜索。

1、.专业整理.1. 具有CLK,Q端口的简单加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT ( CLK : IN STD_LOGIC; Q : OUT INTEGER RANGE 15 DOWNTO 0);END;ARCHITECTURE behav OF CNT4 ISSIGNAL D,Q1 : INTEGER RANGE 15 DOWNTO 0;BEGINPROCESS (CLK)BEGINIF CLKEVENT AND

2、CLK=1THEN Q1=D;END IF;END PROCESS;D=Q1+1;Q=Q1;END behav;2. 具有异步清零aclr,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT(CLK,ACLR: IN STD_LOGIC;Q : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END ;ARCHITECTURE behav OF CNT4 ISSIGNAL Q1 : STD_

3、LOGIC_VECTOR(15 DOWNTO 0);BEGINPROCESS (CLK,ACLR)BEGINIF ACLR=0 THENQ10);ELSIF CLKEVENT AND CLK=1 THENQ1=Q1+1;END IF;END PROCESS;Q=Q1;END behav;3. 具有同步清零sclr,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT(CLK,SCLR: IN STD_LOGIC;

4、Q : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END ;ARCHITECTURE behav OF CNT4 ISSIGNAL Q1 : STD_LOGIC_VECTOR(15 DOWNTO 0);BEGINPROCESS (CLK,SCLR)BEGINIF CLKEVENT AND CLK=1 THENIF SCLR=1 THEN Q10);ELSEQ1=Q1+1;END IF;END IF;END PROCESS;Q=Q1;END behav;4. 具有异步置位apre,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IE

5、EE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT(CLK :IN STD_LOGIC;APRE:IN STD_LOGIC;Q :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE behav OF CNT4 ISSIGNAL Q1 :STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK,APRE)BEGINIF APRE=1 THEN Q1=0001;ELSIF CLKEVENT AND CLK=1 THENQ1=

6、Q1+1;END IF;END PROCESS;Q=Q1;END behav;5. 具有同步置位spre,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT(CLK :IN STD_LOGIC;SPRE:IN STD_LOGIC;Q :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE behav OF CNT4 ISSIGNAL Q1 :STD_LOGIC_VEC

7、TOR(3 DOWNTO 0);BEGINPROCESS(CLK,SPRE)BEGINIF CLKEVENT AND CLK=1 THENIF SPRE=1 THEN Q1=0001;ELSEQ1=Q1+1;END IF;END IF;END PROCESS;Q=Q1;END behav;6. 具有异步清零aclr,异步置位apre,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT(CLK :IN STD_LO

8、GIC;ACLR:IN STD_LOGIC;APRE:IN STD_LOGIC;Q :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE behav OF CNT4 ISSIGNAL Q1 :STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK,APRE,ACLR)BEGINIF ACLR=1 THENQ1=0000;ELSEIF APRE=1 THEN Q1=0001;ELSIF CLKEVENT AND CLK=1 THENQ1=Q1+1;END IF;END IF;END PROCESS;Q=Q1;EN

9、D behav;7. 具有同步使能ENB,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT(CLK :IN STD_LOGIC;ENB :IN STD_LOGIC;Q :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE behav OF CNT4 ISSIGNAL Q1 :STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK

10、,ENB)BEGINIF CLKEVENT AND CLK=1 THENIF ENB=1 THENQ1=Q1+1;END IF;END IF;END PROCESS;Q=Q1;END behav;8. 具有异步清零aclr,异步置位apre,同步使能ENB,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT(CLK :IN STD_LOGIC;ACLR:IN STD_LOGIC;ENB :IN STD_LOGIC

11、;APRE:IN STD_LOGIC;Q :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE behav OF CNT4 ISSIGNAL Q1 :STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK,APRE,ACLR,ENB)BEGINIF ACLR=1 THENQ1=0000;ELSEIF APRE=1 THEN Q1=0001;ELSIF CLKEVENT AND CLK=1 THENIF ENB=1 THENQ1=Q1+1;END IF;END IF;END IF;END PROCESS;Q=Q1;END behav; .学习帮手.

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号