Xilinx_ISE使用教程2

上传人:灯火****19 文档编号:121019404 上传时间:2020-02-14 格式:PPT 页数:179 大小:3.71MB
返回 下载 相关 举报
Xilinx_ISE使用教程2_第1页
第1页 / 共179页
Xilinx_ISE使用教程2_第2页
第2页 / 共179页
Xilinx_ISE使用教程2_第3页
第3页 / 共179页
Xilinx_ISE使用教程2_第4页
第4页 / 共179页
Xilinx_ISE使用教程2_第5页
第5页 / 共179页
点击查看更多>>
资源描述

《Xilinx_ISE使用教程2》由会员分享,可在线阅读,更多相关《Xilinx_ISE使用教程2(179页珍藏版)》请在金锄头文库上搜索。

1、Xilinx公司软件平台介绍 开发工具 ISEDesignSuite涉及了FPGA设计的各个应用方面 包括逻辑开发 数字信号处理系统以及嵌入式系统开发等FPGA开发的主要应用领域 主要包括1 ISEFoundation 集成开发工具2 EDK 嵌入式开发套件3 DSP TOOLs 数字信号处理开发工具4 ChipScopePro 在线逻辑分析仪工具5 PlanAhead 用于布局和布线等设计分析工具 Xilinx公司软件平台介绍 软件组成 Xilinx公司软件平台介绍 ISEFoundation软件 ISEFoundation软件是Xilinx公司推出的FPGA CPLD集成开发环境 不仅包括

2、逻辑设计所需的一切 还具有简便易用的内置式工具和向导 使得I O分配 功耗分析 时序驱动设计收敛 HDL仿真等关键步骤变得容易而直观 Xilinx公司软件平台介绍 EDK软件 EDK是Xilinx公司推出的FPGA嵌入式开发工具 包括嵌入式硬件平台开发工具 PlatformStudio 嵌入式软件开发工具 PlatformStudioSDK 嵌入式IBMPowerPC硬件处理器核 XilinxMicroBlaze软处理器核 开发所需的技术文档和IP 为设计嵌入式可编程系统提供了全面的解决方案 EDK10 1版还包括了最新的IP内核以优化系统设计 同时还包括了SPI DDR2 DMA PS2和支

3、持SGMII的三模式以太网MAC等外设 FlexrayTM外设选项 以及用于DMA的PCIExpress驱动支持 Xilinx公司软件平台介绍 DSP Tools软件 Xilinx公司推出了简化FPGA数字处理系统的集成开发工具DSPTools 快速 简易地将DSP系统的抽象算法转化成可综合的 可靠的硬件系统 为DSP设计者扫清了编程的障碍 DSPTools主要包括SystemGenetator和AccelDSP两部分 前者和Mathworks公司的Simulink实现无缝链接 后者主要针对c m语言 Xilinx公司软件平台介绍 ChipScopePro软件 Xilinx公司推出了在线逻辑分

4、析仪 通过软件方式为用户提供稳定和方便的解决方案 该在线逻辑分析仪不仅具有逻辑分析仪的功能 而且成本低廉 操作简单 因此具有极高的实用价值 ChipScopePro既可以独立使用 也可以在ISE集成环境中使用 非常灵活 为用户提供方便和稳定的逻辑分析解决方案 支持Spartan和Virtex全系列FPGA芯片 ChipScopePro将逻辑分析器 总线分析器和虚拟I O小型软件核直接插入到用户的设计当中 可以直接查看任何内部信号和节点 包括嵌入式硬或软处理器 Xilinx公司软件平台介绍 PlanAhead软件 PlanAhead工具简化了综合与布局布线之间的设计步骤 能够将大型设计划分成较小

5、的 更易于管理的模块 并集中精力优化各个模块 此外 还提供了一个直观的环境 为用户设计提供原理图 平面布局规划或器件图 可快速确定和改进设计的层次 以便获得更好的结果和更有效地使用资源 从而获得最佳的性能和更高的利用率 极大地提升了整个设计的性能和质量 Xilinx公司ISE10 1软件介绍 ISE设计流程 Xilinx公司ISE10 1软件介绍 ISE主界面 Xilinx公司ISE10 1软件介绍 主要功能 ISE的主要功能包括设计输入 综合 仿真 实现和下载 涵盖了可编程逻辑器件开发的全过程 从功能上讲 完成CPLD FPGA的设计流程无需借助任何第三方EDA软件 下面简要说明各功能的作用

6、 1 设计输入 ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器 TheISETextEditor 用于原理图编辑的工具ECS TheEngineeringCaptureSystem 用于生成IPCore的CoreGenerator 用于状态机设计的StateCAD以及用于约束文件编辑的ConstraintEditor等 Xilinx公司ISE10 1软件介绍 主要功能 2 综合 ISE的综合工具不但包含了Xilinx自身提供的综合工具XST 同时还可以内嵌MentorGraphics公司的LeonardoSpectrum和Synplicity公司的Synplify

7、实现无缝链接 3 仿真 ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDLBencher 同时又提供了使用ModelTech公司的Modelsim进行仿真的接口 Xilinx公司ISE10 1软件介绍 主要功能 4 实现 此功能包括了翻译 映射 布局布线等 还具备时序分析 管脚指定以及增量设计等高级功能 5 下载 下载功能包括了BitGen 用于将布局布线后的设计文件转换为位流文件 还包括了IMPACT 功能是进行芯片配置和通信 控制将程序烧写到FPGA芯片中去 Xilinx公司ISE10 1软件介绍 ISE主界面窗口功能概述 1 左上角的窗口是源文件窗口 设计工程所包括的文件以分层的

8、形式列出 2 在该子窗口的下面是处理窗口 该窗口描述的是对于选定的设计文件可以使用的处理流程 3 在ISE主界面最下面是脚本窗口 在该窗口中显示了消息 错误和警告的状态 同时还有Tcl脚本的交互和文件中查找的功能 4 在ISE的右上角是多文档的窗口 在该窗口可以查看html的报告 ASCII码文件 原理图和仿真波形 通过选择View RestoreDefaultLayout可以恢复界面的原始设置 Xilinx公司ISE10 1软件介绍 源文件 source 子窗口 这个窗口有三个标签 源 Source Snapshots 快照 Library 库 源标签内显示工程名 指定的芯片和设计相关文档

9、在设计视图的每一个文件都有一个相关的图标 这个图标显示的是文件的类型 HDL文件 原理图 IP核和文本文件 表示该设计文件包含了更低层次的设计模块 标签内显示的是目前所打开文件快照 一个快照是在该工程里所有文件的一个拷贝 通过该标签可以察看报告 用户文档和源文件 该标签下所有的信息只读 库标签内显示与当前工程相关的库 Xilinx公司ISE10 1软件介绍 处理 process 子窗口 在该窗口只有一个处理标签 该标签有下列功能 添加已有文件 创建新文件 察看设计总结 访问符号产生工具 例化模板 察看命令行历史和仿真库编辑 用户约束文件 访问和编辑位置和时序约束 综合 检查语法 综合 察看RT

10、L和综合报告 设计实现 访问实现工具 设计流程报告和其它一些工具 产生可编程文件 访问配置工具和产生比特流文件 Xilinx公司ISE10 1软件介绍 脚本 transcript 子窗口 脚本子窗口有5个默认标签 Console error warnings Tclshell findinfile Console标签显示错误 警告和信息 X表示错误 表示警告 Warning标签只显示警告消息 Error标签只显示错误消息 Tclshell标签是与设计人员的交互控制台 除了显示错误 警告和信息外 还允许输入ISE特定命令 Findinfile标签显示的是选择Edit FindinFile操作后的

11、查询结果 Xilinx公司ISE10 1软件介绍 工作区 Workspace 子窗口 工作区子窗口提供了设计总结 文本编辑器 ISE仿真器 波形编辑器 原理图编辑器功能 设计总结提供了关于该设计工程的更高级信息 包括信息概况 芯片资源利用报告 与布局布线相关性能数据 约束信息和总结信息等 源文件和其它文本文件可以通过设计人员指定的编辑工具打开 编辑工具的选择由Edit Preference属性决定 默认ISE的文本编辑器 通过该编辑器可以编辑源文件和用户文档 也可以访问语言模板 Xilinx公司ISE10 1软件设计流程介绍 打开ISE软件时的面板 当以前使用过该软件时会默认打开上一个工程 X

12、ilinx公司ISE10 1软件设计流程介绍 创建一个新工程 Xilinx公司ISE10 1软件设计流程介绍 创建一个新工程 这里可以新建一个文件 也可以在工程属性建立完成后在工程内新建 我们选择Next Xilinx公司ISE10 1软件设计流程介绍 创建一个新工程 这里可以添加工程文件 也可以在工程建立后添加 我们选择Next Xilinx公司ISE10 1软件设计流程介绍 创建一个新工程 给出了整个工程大致属性 Finish Xilinx公司ISE10 1软件设计流程介绍 创建一个新工程 完成后在Sources窗口中显示工程文件夹以及工程所用芯片 在该窗口中右键 可以新建文件 添加已经写

13、好的文件 添加文件并复制该文件到工程文件夹中 Xilinx公司ISE10 1软件设计流程介绍 创建一个新工程 该文件的实体名 新建文件的类型 不同的类型有着不同的功能和意义 Xilinx公司ISE10 1软件设计流程介绍 创建一个新工程 端口名 端口的类型及位数 Next Xilinx公司ISE10 1软件设计流程介绍 添加实体端口 给出了该文件的概要 Finish Xilinx公司ISE10 1软件设计流程介绍 添加实体端口 Xilinx公司ISE10 1软件设计流程介绍 添加实体端口 双击gate文件 自动生成实体结构 生成了结构体框架只需加入逻辑语句即可 Xilinx公司ISE10 1软

14、件设计流程介绍 自动生成文件结构框架 添加的逻辑代码 之后为注释语句 Xilinx公司ISE10 1软件设计流程介绍 添加代码及注释 Xilinx公司ISE10 1软件设计流程介绍 Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 选择所要仿真的VHDL文件 Next Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 给出该波形文件的相关属性 Finish Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 仿真波形的设置界面 这里显示的主要是时钟方面的设置 Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 增对该工程设置方式如图

15、波形文件长度的设置 Finish Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 切换到行为仿真 Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 Xilinx公司ISE10 1软件设计流程介绍 添加波形仿真文件 Xilinx公司ISE10 1软件设计流程介绍 添加管脚约束文件 UCF文件 Xilinx公司ISE10 1软件设计流程介绍 对管脚进行约束 选中顶层文件在Processes窗口中 双击 进行对应管脚的约束 保存关闭 X

16、ilinx公司ISE10 1软件设计流程介绍 对管脚进行约束 Xilinx公司ISE10 1软件设计流程介绍 查看或修改管脚约束文件 Xilinx公司ISE10 1软件设计流程介绍 工程实现及产生位流文件 Xilinx公司ISE10 1软件设计流程介绍 下载bit文件 Xilinx公司ISE10 1软件设计流程介绍 下载bit文件 弹出的窗口是为芯片配置bit文件 选择gate bit 点击Open Xilinx公司ISE10 1软件设计流程介绍 下载bit文件 采用默认设置 点击ok Xilinx公司ISE10 1软件设计流程介绍 下载bit文件 Xilinx公司ISE10 1软件设计流程介绍 下载bit文件 EXCD 1开发板主要基于XilinxSpartan3EFPGA器件XC3S500E PQ208 板上含有2Mbytes的Flash和1024Kbytes的SRAM 以及各种输入输出设备 基于该板可以设计具有各种功能的数字系统 并可以完成含有8位PicoBlaze微控制器和MicroBlaze7 0软核的嵌入式处理器系统 SOC实验室解决方案 EXCD 1硬件开发平台 EXCD

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号