基于fpga的dds设计论文正稿

上传人:xmg****18 文档编号:118820657 上传时间:2019-12-26 格式:DOC 页数:25 大小:1.49MB
返回 下载 相关 举报
基于fpga的dds设计论文正稿_第1页
第1页 / 共25页
基于fpga的dds设计论文正稿_第2页
第2页 / 共25页
基于fpga的dds设计论文正稿_第3页
第3页 / 共25页
基于fpga的dds设计论文正稿_第4页
第4页 / 共25页
基于fpga的dds设计论文正稿_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《基于fpga的dds设计论文正稿》由会员分享,可在线阅读,更多相关《基于fpga的dds设计论文正稿(25页珍藏版)》请在金锄头文库上搜索。

1、. . . . .基于FPGA的DDS的设计 电子信息科学与技术专业 刘童 指导教师 孙红艳摘要:在电子技术飞速发展的今天,人们对于信号源的要求也逐渐提高。传统的信号源采用独立元件或简单数字电路设计,导致了经济代价大,设计周期长,产品的升级换代困难等诸多不足,显然已经不适合现代电子技术的要求。因此,要利用全新的思路设计符合要求的信号源。采用DDS技术已成为当前设计信号源的主流方法,DDS是指直接数字式频率合成器,它是利用相位的概念直接合成用户所需波形,主要包括相位累加器,波形存储器,数模转换器和低通滤波器组成,通过FPGA利用硬件描述语言VHDL来进行此设计。与传统的信号源相比,利用DDS原理

2、设计的信号源具有频率分辨率高,频率转换速度快,切换相位连续等优点,使用FPGA来进行设计,大大缩短了设计周期,降低了研发和制作成本,同时设计可以根据用户不同需求进行改进和升级。关键字:信号源;DDS;FPGADDS Based on the design of the FPGA Student majoring in Electronic information science and technology Liu tongTutor Sun hong yanAbstract:In the electronic technology rapid development today, peopl

3、e for the signal source requirement also gradually improve. The traditional signal source adopt independent components or simple digital circuit design, leading to the economic cost, the design cycle is long, the upgrade of products such as difficulty some shortcomings, have apparently not suitable

4、for the modern electronic technology requirements. Therefore, to take advantage of the new idea design to meet the requirements of source. The technology has become the DDS design method of the mainstream of the signal source, is referred to as a direct DDS digital frequency synthesizer, it is to us

5、e the concept of phase the direct synthesis of customer need waveforms, mainly including phase accumulators, waveform memory, digital-to-analog converters and low pass filter composition, through the FPGA use hardware description language to this design VHDL. Compared with the traditional signal sou

6、rce, using the principle of the signal source has design DDS frequency resolution is high, the frequency conversion speed, switch phase continuous etc, and use to design the FPGA, greatly reducing the design period, lower the cost of making the research and development, and, at the same time, accord

7、ing to the different needs of the users design can improve and upgrades.Key words:signal source;signal source;DDS;FPGA1 绪论1.1 设计背景 我国的电子行业伴随着经济的飞速增长进入了本行业发展的春天,随着物质生活的不断丰富,人们对于电子产品的要求不仅体现在需求量上,而且还表现在对产品的功能上。正因为如此,我国的电子市场正在迅速扩大,有着十分广阔的市场前景。 数字电子技术的飞速发展,有力地推动和促进了信息化的提高,它的应用已经渗透到我们生活的各个方面。不管是从计算机到手机,还是

8、数字电话到数字电视,甚至军用设备、工业自动化及航天技术,都是采用了数字电子技术,它的核心是EDA(电子设计自动化,Electronic Design Automation)技术。就是以计算机工作平台,以EDA软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以电子系统设计为应用方向的电子产品自动化设计过程。它是以计算机科学和微电子技术发展为先导的,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科的最新成果的先进技术,是在先进计算机工作平台上开发出来的一整套电子系统设计的软件工具。FPGA(现场可编程器件,Field Programmable

9、Gate Array)便是EDA技术的一个分支,是20世纪80年代中期出现的高密度可编程器件,短短几十年来,取得了惊人的发展,其单片集成密度从最初的1200门发展到目前的几百万门,而且时钟频率由最初的不到10MHz发展到目前的300MHz,FPGA的结构类似于掩膜可编程门阵列(MPGA),有许多独立的可编程模块组成,用户可以通过编程将这些模块连接起来实现不同的设计。高密度可编程器件可以通过硬件描述语言进行设计,使得硬件的修改像软件一样方便,这一特性使得产品的研发时间大大缩短,便于产品的升级换代。高密度可编程可以设计成任何数字器件,无论是简单的门电路,还是高性能的处理器,它的发明对于数字电子电子

10、技术来说具有里程碑式的意义。通信是FPGA的传统领域。随着未细化的进步,芯片的面积越来越小、价格越来越低,市场发展正在逐步加快,同时由于FPGA灵活方便,不仅性能、速度、连接具有优势,而且可以缩短上市时间,因此应用领域在不断扩展。现在,许多用户都开始在一些批量生产的消费类电子产品上采用FPGA,如DVD、TV、游戏设备、空调、PDA、数字视频移动网络、无线局域网等。1.2 设计的意义在电子产品的研发,检测和维修等环节,都会用到信号源,而随着电子产品的品质不断提高,传统的信号源已经不能满足现代电子技术在其频率分辨率、转换速度等方面的要求;而有时我们需要通过计算机来控制信号源,从而完成一些复杂的操

11、作。随着数字信号处理和集成电路技术的发展,DDS(直接数字频率合成器)得到越来越广泛的应用,DDS有如下优点:频率分辨率高,输出频点多,可达2的n次方个频点(n为相位累加器位数);频率切换速度快,可达us量级;频率切换时相位连续;可以输出宽带正交信号;输出相位噪声低,对参考频率源的相位噪声有改善作用;可以产生任意波形;全数字化实现,便于集成,体积小,重量轻。现代电子行业正在朝着功能更强,功耗更低,体积更小,速度更快,精度更高的方向发展,这些要求推动着集成电路不断发展。现场可编程器件(FPGA)具有集成度高,逻辑实现能力强、设计灵活性好等优点,可以快速完成复杂的数字系统的设计,符合现代电子行业的

12、发展趋势,因此FPGA具有更强大的功能和市场前景,受到电子设计工程师们的普遍欢迎。传统的信号源采用独立元件设计,导致体积庞大,不易携带,且功耗很高,这些缺点大大限制了它们的使用范围和效能,此设计利用FPGA为载体,不仅克服了上述不足,减小了体积,降低了功耗,而且设计的信号源性能更加优良,性能的提高不仅在研发过程中可以提高产品的质量,而且在检修过程中可以大幅度提高工作效率,发现一些不易发现的故障。而设计还可以根据需要进行升级和功能的扩展,表现出了极强的市场生命力。1.3 设计实现的目标本设计利用FPGA和外围电路来进行对DDS信号源的设计,并且利用EDA开发软件Quartus来进行设计和功能仿真

13、,设计的性能要求:(1)能够输出典型的正弦波。(2)通过键盘控制频率的大小,并且利用数码管显示出来。(3)输出的峰峰值5V.2设计方案2.1 DDS设计2.1.1 DDS设计原理 频率合成技术是将一个(或多个)基准频率变换成另一个(或多个)合乎质量要求的所需频率的技术。 一个的简单的原始单频信号可表示为: (2-1)在它的初始相位0和幅度U不变的情况下,它的频谱为一条谱线,频率为f0。在这里,为了简化表示,令U=1,0=0,这是不会影响系统研究的,简化后的信号可以表示为: (2-2)如果对(2-2)式中表示的信号进行采样,令采样周期为Tc(即采样频率为fc),则可得到离散的波形序列: (2-3

14、)相应的离散相位序列为: (2-4)式中: (2-5)是连续两次采样之间的相位增量。根据采样定理: (2-6)分析上述关系式得:只要(2-3)式中的离散序列确定,便可唯一的恢复出(2-2)式中的模拟信号。从(2-2)可知,是相位函数的斜率决定了信号的频率;从(2-5)可知,决定相位函数斜率的是两次采样之间的相位增量。因此,只要控制这个相位增量,就可以控制合成信号的频率。现将整个周期的相位2分成M份,每一份为=2/M,若每次的相位增量选择为的K倍,即可得到信号的频率: (2-7)相应的模拟信号为: (2-8)式中K和M都是正整数,根据采样定理的要求,K的最大值应小于M的1/2。综上所述,在采样频

15、率一定的情况下,可以通过控制两次采样之间的相位增量(不得大于)来控制所得离散序列的频率,经保持、滤波之后可唯一的恢复出此频率的模拟信号。DDS设计原理图如图2-1所示:图2-1DDS设计原理图主要构成:内部:相位累加器,波形存储器外围:D/A转换器,LPF(低通滤波器)图中M为频率控制字,N为相位累加器的位数,D为相位累加器的输出位数,A为波形存储部分输出的幅度码的位数,参考频率源的频率为fclk,输出频率为fout.。2.1.2 DDS工作过程在每一个参考频率fclk作用下,加法器就将频率控制字M与累加寄存器输出的累加相位数据相加,相加的结果又反馈到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率字相

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号