FSK调制解调系统的建模与仿真设计

上传人:xmg****18 文档编号:118818254 上传时间:2019-12-26 格式:DOC 页数:22 大小:378KB
返回 下载 相关 举报
FSK调制解调系统的建模与仿真设计_第1页
第1页 / 共22页
FSK调制解调系统的建模与仿真设计_第2页
第2页 / 共22页
FSK调制解调系统的建模与仿真设计_第3页
第3页 / 共22页
FSK调制解调系统的建模与仿真设计_第4页
第4页 / 共22页
FSK调制解调系统的建模与仿真设计_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《FSK调制解调系统的建模与仿真设计》由会员分享,可在线阅读,更多相关《FSK调制解调系统的建模与仿真设计(22页珍藏版)》请在金锄头文库上搜索。

1、. . . . .摘要 现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。本论文主要讨论和仿真了基于CPLD的FSK、4FSK系统单元设计,并对调制解调系统和CPLD设计方法进行了相关的研究。以VHDL作为设计的硬件描述语言,在Altera公司的Maxplus2开发平台上进行了程序设计及波形仿真。对各个模块进行CPLD的建模,然后对各个模块的设计内容进行了层层细化。最终达到模块的具体软硬件实现。基于中压通信的特点,对中压信道噪声特性进行了总结研究,并在Maxplus2下实现仿真波形;对通信上现有调制解调技术进行比较总结,应用Max

2、plus2编程实现了FSK调制解调,并在实现单载波FSK调制解调基础上,实现了多载波FSK调制解调。介绍了采用多载波调制技术实现数字信号传输的基本原理及其优点;从理论上分析总结了中压信道及噪声特性,并结合均衡、编码,小波变换等技术,提出了进一步提高多载波调制系统性能的途径。关键词: 频率键控系统;CPLD;MAXPLUS2;VHDL学习参考. . . . .目 录摘要1一、绪 论1二、FSK频移键控(Frequency Shift Keying)22.1 FSK频移键控及信号产生原理22.2 FSK信号的调制与解调42.2.1 FSK信号的调制技术42.2.2 FSK信号的解凋技术5三、系统的

3、总体方案设计73.1 MAXPLUS2系统简介83.2 系统实现8四、系统电路模块的设计及仿真实现114.1基于VHDL硬件描述语言的FSK程序设计114.1.1 FSK调制模块及仿真114.1.2 FSK解调模块及仿真15结论19参考文献21学习参考一、 绪论随着科学技术的进步,通信事业得到了飞速发展,信息的传送也由模拟传输转向数字传输,信息越来越多地作为数字脉冲之间的时间或相位的变化而传送出去。这样,对信号进行数字调制显的尤其重要,这种利用模拟调制方法随着现代调制方法的出现而陷入了困境。为了可靠地进行通信,现代调制方法更钟情于频率和相位调制。 计算机应用的日益普及,人们对计算机之间的数据传

4、输要求越来越高,不仅向着高速、宽带、延迟小的方向发展,而且对数据传输方式的灵活性要求也越来越高。无线数据传输在人们的日常生活中正日益扮演越来越重要的角色;同时,无线数据通信的份额占整个数据通信领域的比重也正日益加重特别是在一些特殊的场合,如:博物馆、移动办公室、交通工具中等设施内,架设电缆往往不符合设施规范。无线数据传输设备变得必不可少了。 调制器是通信系统中必不可少的关键设备,其性能的好坏直接关系到整个系统的性能。我们设计的FSK调制系统具有抗干扰、抗噪声、抗衰减性能较强、技术复杂程度低、成本低等诸多优点,因而广泛应用与实际电路中。在中低速数据传输通信系统中得到了较为广泛的应用。此外,许多集

5、成芯片里也用到了FSK调制技术。数字频率调制是数据通中常见的一种调制方式。频移键控(FSKFrequency Shift Keying)方法简单,用不同频率的载波来传送数字信号,并用数字基带信号控制载波信号的频率,易于实现,并且解调不须恢复本地载波,可以异步传输,抗噪声和抗衰落性能也较强,传输距离远、误码率低等优点。因此,FSK调制技术在通信行业,特别是在衰落信道中传输数据时得到了广泛地应用,并且主要适用于用于低、中速数据传输。一个系统的通信质量,很大程度上依赖于所采用的调制方式。但传统用硬件实现FSK的方法,特别是相干解调需要提取载波。设备相对比较复杂、成本较高。文中基于FPGA芯片。采用V

6、HDL语言提出了一种FSK调制解调器的实现方法因此,对调制方式的研究,将直接决定着通信系统质量的好坏。现场可编程门阵列(CPLD)既具有很高的处理速度又具有一定的灵活性。本课题就采用CPLD实现数字调制解调技术加以论述。二、FSK频移键控(Frequency Shift Keying)2.1 FSK频移键控及信号产生原理所谓FSK就是用数字信号去调制载波频率,是数字信号传输中用的最早的一种调制方式。此方式实现起来比较容易,抗噪声和抗衰减性能好,稳定可靠,是中低速数据传输最佳选择。频移就是把振幅、相位作为常量,而把频率作为变量,通过频率的变化来实现信号的识别,原理如图2-1所示。在FSK中传送的

7、信号只有0和1两个。输出后的2FSK波形如图2-2所示。图2-1 FSK信号调制原理图图2-2 调制后波形图FSK信号的产生原理用二进制数字信号m(t)进行调频,是使载波C=Vccos(+)中的,当符号为1时具有协l频率的信息,而当符号为0时具有2的信息,此调制称移频调制。产生波形如图2-3所示。图2-3 FSK调制原理波形图2.2 FSK信号的调制与解调FSK是利用载波的频率变化来传递数字信息的一种非线性调制方法。在2FSK(二进制频移键控)系统中,使用两个不同频率的载波分别代表数字信号“0”和“1”,2FSK信号的解调和2FSK的调制是一个相反的过程。2FSK信号的躲调是从已调的载波信号中

8、,恢复为调制前的基带信号“0”和“1”。2.2.1 FSK信号的调制技术(1)直接调频法直接调频法是用数字基带信号直接控制载频振荡器的振荡频率。直接调频法实现电路有许多。一般采用的控制方法是:当基带信号为正时(相当于1码),改变振荡器谐振回路的参数(电容或电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于0码),改变振荡器谐振回路的参数,使振荡器的频率降低(设为f2)。从而实现了调频,这种方法产生的调频信号是相位连续的。虽然实现方法简单。但频率稳定度不高,同时频率转换速度不能太快。(2)频率键控法频率键控法也称频率选择法图2-4是它实现的原理框图。f1f2基带信号Ufsk

9、(t)图2-4 频带键控法的原理框图频率键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间两个高频振荡的输出电压通常不可能相等于是U。Ufsk(t)信号在基带信号变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。2.2.2 FSK信号的解凋技术FPGA clkstart调制信号分频器q寄存器xx计数器m判决基带信号图2-5 VHDL描述FSK信号解调原理方框图(1)同步解调法同步解调中,FSK信号解调原理方框如图2-6所示。n(t)带通f1滤波器带通f2滤波器低通滤波器低通滤波器比较判别器2cos2f1

10、t2cos2f2tX1X2图2-6 FSK信号同步解调原理方框图从图2-6可见,FSK信号的同步解调分成上、下两个支路,输入的FsK信号经过f1和f2两个带通滤波器后变成了上、下两路ASK信号之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。当输入的FSK信号振荡频率为fl时上支路经带通后由正弦信号Acos2flt存在。与ASK系统接收到“l”码时的情况相似,经过低通滤波器,xl=A。而下支路带通滤波器输出为0与ASK系统接收到“0”码时相似,故x2=0,显然xlx2=A一00按判决准则判输入为fl;反之,当输人为f2时xl=0,x2=A,Xl-X2=0-A0,按判决准则应判输入为

11、f2。因此可以判决出FSK信号。(2)包络解调法n(t)带通f1滤波器带通f2滤波器包络检波器包络检波器抽样判别器2cos2f1t2cos2f2tX1X2图2-7 FSK信号包络解调方框图FSK信号包络解调方框图如图2-7所示。从图2-7可见,FSK信号包络解凋相当于两路ASK信号包络解调。用两个窄带的分路滤波器分别滤出频率为fl及f2的高频脉冲,经包络检波后分别取出它们的包络。把两路输出同时送到抽样判决器进行比较从而判决输m基带数字信号。有时称这种比较判别器的判决门限为零点平。当FSK信号为fl时上支路相当于ASK系统接收“1”码的情况,其输出xl为正弦波加窄带高斯噪声的包络,它服从莱斯分布

12、。而下支路相当于ASK系统接收“0”码的情况,其输出x2为窄带高斯噪声的包络,它服从瑞利分布。如果FSK信号为f2。上、下支路的情况正好相反,此时上支路输出的瞬时值服从瑞利分布下支路输出的瞬时值服从莱斯分布。由以上分析可知无论输出的FSK信号是f1或f2,两路输出总是一路为莱斯分布,另一路为瑞利分布。 (3)过零检测法过零检测法是利用信号波形在单位时间内与零电平轴交叉的次数来测定信号频率。输入u隙信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向宽脉冲,然后整流的单向宽脉冲,每个宽脉冲表示信号的一个过零点。宽脉冲的重复频率就是信号频率的二倍。将宽脉冲去触发一单稳态电路产生一定宽度的矩形脉冲序

13、列,该序列的平均分量与脉冲频率成正比,即与输入信号频率成正比。所以经过低通滤波器输出的平均分量的变化反映了输入信号频率的变化,这样就把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号,其结构图如图2-8所示。限幅低通微分整流宽脉冲发生图2-8 过零检测法方框图三、系统的总体方案设计3.1 MAXPLUS2系统简介Altera公司的姒XPLUS2开发系统是一个完全集成化、易学易用的可编程逻辑设计系统。它可以在多种平台上运行。它拥有开放的界面,可与其他工业标准的设计输入、综合与校验工具相连接。其设计输入、处理和校验功能集成在统一的开发环境下n1。MAXPLUS2采用自顶向下的设计方法,设计流

14、程为设计输入一项目编译一项目校验一器件编程。1. 设计输入MAXPLUS2软件的设计输入方法很多,主要有以下三种:原理图输入、文本输入和波形输入。文本设计输入方法主要用来实现以AHDL语言形式或VHDL语言形式书写的文件。MAXPLUS2波形编辑器用于建立和编辑波形文件。Compiler先进的波形综合算法根据用户定义的输入及输出波形自动生成逻辑关系。Compiler自动为状态机分配状态位和状态变量。2. 项目编译MAXPLUS2编译器可以检查项目中的错误并进行逻辑综合,将项目最终设计结果加载到Altera器件中去,并为模拟和编程产生输出文件。3. 项目校验设计校验过程包括设计仿真和定时分析,作用是测试逻辑操作和设计的内部定时,MAXPLUS2仿真器可以对编译期间生成的二进制仿真网表进行功能、定时的仿真。4.器件编程器件的编程是指MAXPLUS2 Programmer使用Compiler生成的编程文件对Altera器件编程。编程过程可通过配套的编程适配器连接微机到应用板的JAG接口上来实现。3.2 系统实现由过零点检测原理可以知道,FSK信号的过零点次数反映了原始信号的规律,所以只要某种方法能够分析出FSK信号的过零点次数,然后根据调制时原始信号和调制频率的关系,就可以解调出原始信号。信

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号