eda拔河游戏机课程设计

上传人:marr****208 文档编号:117542545 上传时间:2019-12-05 格式:DOC 页数:15 大小:223KB
返回 下载 相关 举报
eda拔河游戏机课程设计_第1页
第1页 / 共15页
eda拔河游戏机课程设计_第2页
第2页 / 共15页
eda拔河游戏机课程设计_第3页
第3页 / 共15页
eda拔河游戏机课程设计_第4页
第4页 / 共15页
eda拔河游戏机课程设计_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《eda拔河游戏机课程设计》由会员分享,可在线阅读,更多相关《eda拔河游戏机课程设计(15页珍藏版)》请在金锄头文库上搜索。

1、信息技术学院可编程逻辑器件原理及应用课程综合设计报告书姓 名: 班 级: 学 号: 时 间: 指导教师: 设计题目拔河游戏机 设计要求和任务电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时, 则该方获胜,连续比赛多局以定胜负。基本要求:1、设计一个能进行拔河游戏的电路。2、电路使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。4、亮点移到任一方终端二

2、极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。5、用数码管显示获胜者的盘数。设计过程一、总体设计思想 1.基本原理电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时, 则该方获胜,连续比赛多局以定胜负。本电路要求使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无

3、作用,输出保持,只有复位后才使亮点恢复到中心。最后用数码管显示获胜者的盘数。由设计内容可知,首先需要一个十进制的计数器,用于对双方按钮的次数计数,并通过译码器显示在数码管上。设计用到的是1K Hz的频率,所以要设计一个程序进行分频。其次,显视控制部分设计要求在发光二极管上显示游戏状态,双方每按十次,亮点向先按十次移动一次,对脉冲进行计数,每十次移一位。需接入一个清零端 ,用于复位。再次,运用VHDL程序语言进行各个模块的程序编写,控制电路的正常运行。最后,将以上程序组装起来,就可得到所需要的拔河游戏机。2.设计框图图1. 拔河机游戏机框图二、设计步骤和调试过程1、总体设计电路电子拔河游戏机是一

4、种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时, 则该方获胜,连续比赛多局以定胜负。图2.系统RTL图示2、模块设计和相应模块程序1、文件名:bahe LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BAHE IS PORT (A,B,RST,CLK:IN STD_LOGIC;SG,LED:OUT STD_LOGIC_VECTOR(8 DOWNTO 0);BT:OUT STD

5、_LOGIC_VECTOR(7 DOWNTO 0);END BAHE;ARCHITECTURE ONE OF BAHE ISCOMPONENT CNT10PORT (CLK,RST,EN:STD_LOGIC;COUT:OUT STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END COMPONENT;COMPONENT SCANPORT (CLK :IN STD_LOGIC;A1, A2,A3,B1,B2,B3:IN STD_LOGIC_VECTOR(3 DOWNTO 0); SG:OUT STD_LOGIC_VECTOR(8 DOWNTO 0);B

6、T: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END COMPONENT;COMPONENT LMOVPORT (KL ,KR:IN STD_LOGIC_VECTOR(3 DOWNTO 0) ;LED:OUT STD_LOGIC_VECTOR(8 DOWNTO 0);EN : OUT STD_LOGIC;RST:IN STD_LOGIC);END COMPONENT;SIGNAL E,F,CA1,CA2,CB1,CB2:STD_LOGIC;SIGNAL CQA1,CQA2,CQA3,CQB1,CQB2,CQB3:STD_LOGIC_VECTOR(3 DOWNTO 0)

7、;BEGINU1: CNT10 PORT MAP (EN=E,RST=RST,CLK=A,COUT=CA1,CQ=CQA1);U2: CNT10 PORT MAP (EN=E,RST=RST,CLK=CA1,COUT=CA2,CQ=CQA2);U3: CNT10 PORT MAP (EN=E,RST=RST,CLK=CA2,CQ=CQA3);U4: CNT10 PORT MAP (EN=E,RST=RST,CLK=B,COUT=CB1,CQ=CQB1);U5: CNT10 PORT MAP (EN=E,RST=RST,CLK=CB1,COUT=CB2,CQ=CQB2);U6: CNT10 PO

8、RT MAP (EN=E,RST=RST,CLK=CB2,CQ=CQB3);U7: SCAN PORT MAP (A1=CQA1,A2=CQA2,A3=CQA3,B1=CQB1,B2=CQB2,B3=CQB3,CLK=CLK,SG=SG,BT=BT);U8:LMOV PORT MAP (EN=E,KL=CQA2,KR=CQB2,RST=RST,LED=LED);END ARCHITECTURE ONE;2、文件名:scanLIBRARY IEEE; -数码管显示模块USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTIT

9、Y SCAN ISPORT (CLK :IN STD_LOGIC;A1,A2,A3,B1,B2,B3:IN STD_LOGIC_VECTOR(3 DOWNTO 0); SG:OUT STD_LOGIC_VECTOR(8 DOWNTO 0);BT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END;ARCHITECTURE ONE OF SCAN ISSIGNAL CNT4:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL A :STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CLK1:STD_LOGIC;BEGINP1:PR

10、OCESS(CNT4)BEGINCASE CNT4 ISWHEN 000=BT=10000000;ABT=01000000;ABT=00100000;ABT=00000100;ABT=00000010;ABT=00000001;ABT=00000000;END CASE ;END PROCESS P1;P2:PROCESS (CLK)VARIABLE CT:INTEGER RANGE 0 TO 50000;BEGINIF CLKEVENT AND CLK=1 THEN -1000HZIF CT49999 THENCT:=CT+1;CLK1=0;ELSECT:=0;CLK1=1;END IF;E

11、ND IF;END PROCESS P2;PROCESS(CLK1)BEGINIF CLK1EVENT AND CLK1=1 THEN IF CNT45 THENCNT4=CNT4+1;ELSECNT4SGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGNULL;END CASE ;END PROCESS;END;3、文件名:cnt10LIBRARY IEEE; -频率计数模块 USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 ISPORT(CLK,RST,EN:STD_LOGIC;C

12、OUT:OUT STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END;ARCHITECTURE ONE OF CNT10 ISBEGINPROCESS(CLK,RST,EN)VARIABLE CQI:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINIF RST=1 THENCQI:=(OTHERS=0);ELSIF CLKEVENT AND CLK=1 THENIF EN=1 THENIF CQI0);END IF ;END IF;END IF;IF CQI=9 THEN COUT=0 ;ELSE COUT=1;END IF;CQ=CQI;END PROCESS;END;4、文件名:imovLIBRARY IEEE; -LED指示模块USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号