基于dds技术的信号发生器的设计与实现

上传人:乐*** 文档编号:117405657 上传时间:2019-12-05 格式:DOC 页数:55 大小:1.67MB
返回 下载 相关 举报
基于dds技术的信号发生器的设计与实现_第1页
第1页 / 共55页
基于dds技术的信号发生器的设计与实现_第2页
第2页 / 共55页
基于dds技术的信号发生器的设计与实现_第3页
第3页 / 共55页
基于dds技术的信号发生器的设计与实现_第4页
第4页 / 共55页
基于dds技术的信号发生器的设计与实现_第5页
第5页 / 共55页
点击查看更多>>
资源描述

《基于dds技术的信号发生器的设计与实现》由会员分享,可在线阅读,更多相关《基于dds技术的信号发生器的设计与实现(55页珍藏版)》请在金锄头文库上搜索。

1、唐 山 学 院 毕毕 业业 设设 计计 设计题目:设计题目:基于 DDS 技术的信号发生器的设计与实现 系系 别:别: 信息工程系 2 0 1 2 年6 月10 日 基于 DDS 技术的信号发生器的设计与实现 摘 要 DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传 统的频率合成器相比,DDS 具有低成本、低功耗、高分辨率和快速转换时间等优 点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。矚慫 润厲钐瘗睞枥庑赖。 本设计采用单片机为核心处理器,利用键盘输入信号的参数,控制 DDS 的 AD9850 模块产生信号,信号的参

2、数在 LCD1602 上显示,完成正弦信号和方波信 号的输出,用示波器输出验证。聞創沟燴鐺險爱氇谴净。 DDS 是一种全数字化的频率合成器,由相位累加器、波形 ROM、D/A 转换器 和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率 分辨率取决于累加器位数,相位分辨率取决于 ROM 的地址线位数,幅度量化噪声 取决于 ROM 的数据位字长和 D/A 转换器位数。与传统的频率合成方法相比, DDS 合成信号具有频率切换时间短、频率分辨率高、相位变化连续等诸多优点。 使用单片机灵活的控制能力与 AD9850 的高性能、高集成度相结合,可以克服传 统 DDS 设计中的不足,从而

3、设计开发出性能优良的信号发生器系统。残骛楼諍锩瀨濟溆 塹籟。 关键词:关键词:单片机 直接数字频率合成 AD9850 DDS Design and Implementation of the Signal Generator Based on DDS Technology酽锕极額閉镇桧猪訣 锥。 Abstract DDS is Direct Digital frequency Synthesizer (Direct Digital Synthesizer) English abbreviations. Compared with the traditional frequency synthe

4、sizer, with low cost, DDS low power consumption, high resolution and fast converting speed time and so on, widely used in telecommunications and electronic instruments field, is to realize equipment full digital a key technology. 彈贸摄尔霁毙攬砖卤庑。 This design uses the single chip processor as the core, us

5、ing a keyboard input signal parameters, control of DDS AD9850 module produce signals, the signal parameters in LCD1602 show that the complete sine signal and square wave signal output, the output with an oscilloscope validation. 謀荞抟箧飆鐸怼类蒋薔。 DDS is A full digital frequency synthesizer, by phase accum

6、ulators, waveform ROM, D/A converter and low pass filter composition. The clock frequency after A given, the output depends on the frequency of the signal frequency control word, the frequency resolution depends on accumulators digits, phase resolution depends on the ROM address line digits, amplitu

7、de quantization noise depends on the ROM data A word length and D/A converter digits. And the frequency of the traditional method than the synthesis, DDS synthesis signal has a frequency switching frequency of short time, high resolution and continuous phase changes, and many other advantages. Using

8、 single chip microcomputer control of the flexible ability and high performance, high level of integration of the AD9850 combination, can overcome the disadvantage of the traditional DDS design, to design the developed good performance of signal generator system. 厦礴 恳蹒骈時盡继價骚。 Key word: MCU; direct d

9、igital frequency synthesis;AD9850;DDS茕桢广鳓鯡选块网羈泪。 目 录 1 引言.1鹅娅尽損鹌惨歷茏鴛賴。 2 DDS 概要.2籟丛妈羥为贍偾蛏练淨。 2.1 DDS 介绍 2預頌圣鉉儐歲龈讶骅籴。 2.1.1 DDS 结构2渗釤呛俨匀谔鱉调硯錦。 2.1.2 典型的 DDS 函数发生器 .3铙誅卧泻噦圣骋贶頂廡。 2.2 DDS 数学原理 5擁締凤袜备訊顎轮烂蔷。 3 总体设计方案.8贓熱俣阃歲匱阊邺镓騷。 3.1 系统设计原理.8坛摶乡囂忏蒌鍥铃氈淚。 3.2 总体设计框图.8蜡變黲癟報伥铉锚鈰赘。 4 系统硬件模块的组成.9買鲷鴯譖昙膚遙闫撷凄。 4.1

10、 单片机控制模块.9綾镝鯛駕櫬鹕踪韦辚糴。 4.1.1 STC89C52 主要性能9驅踬髏彦浃绥譎饴憂锦。 4.1.2 STC89C52 功能特性描述9猫虿驢绘燈鮒诛髅貺庑。 4.1.3 时钟电路11锹籁饗迳琐筆襖鸥娅薔。 4.1.4 复位电路.11構氽頑黉碩饨荠龈话骛。 4.2 AD9850 模块12輒峄陽檉簖疖網儂號泶。 4.2.1 AD9850 简介12尧侧閆繭絳闕绚勵蜆贅。 4.2.2 AD9850 的控制字与控制时序14识饒鎂錕缢灩筧嚌俨淒。 4.2.3 单片机与 AD9850 的接口.15凍鈹鋨劳臘锴痫婦胫籴。 4.3 滤波电路设计.15恥諤銪灭萦欢煬鞏鹜錦。 4.4 键盘控制模块

11、.16鯊腎鑰诎褳鉀沩懼統庫。 4.5 LCD 显示模块 16硕癘鄴颃诌攆檸攜驤蔹。 4.5.1 液晶显示器显示原理.16阌擻輳嬪諫迁择楨秘騖。 4.5.2 1602LCD 引脚与时序17氬嚕躑竄贸恳彈瀘颔澩。 4.6 A/D 转换模块 .20釷鹆資贏車贖孙滅獅赘。 5 软件设计与调试.21怂阐譜鯪迳導嘯畫長凉。 5.1 程序流程图.21谚辞調担鈧谄动禪泻類。 5.2 软件调试.22嘰觐詿缧铴嗫偽純铪锩。 5.2.1 keil 编程工具介绍22熒绐譏钲鏌觶鷹緇機库。 5.2.2 STC-ISP 下载工具介绍.23鶼渍螻偉阅劍鲰腎邏蘞。 6 硬件电路制作.24纣忧蔣氳頑莶驅藥悯骛。 6.1 原理图

12、的绘制.24颖刍莖蛺饽亿顿裊赔泷。 6.2 电路实现的基本步骤.24濫驂膽閉驟羥闈詔寢賻。 6.3 硬件测试波形图.25銚銻縵哜鳗鸿锓謎諏涼。 7 结 论.27挤貼綬电麥结鈺贖哓类。 谢 辞.28赔荊紳谘侖驟辽輩袜錈。 参考文献.29塤礙籟馐决穩賽釙冊庫。 附 录.30裊樣祕廬廂颤谚鍘羋蔺。 外文资料.43仓嫗盤紲嘱珑詁鍬齊驁。 唐唐 山山 学学 院院 毕毕 业业 设设 计计 1 1 引言 在电子科技技术领域中,经常要用一些信号作为测量基准信号或输入信号, 也就是所谓的信号源。信号源是各种各样的,包括正弦波信号源、函数发生器、 脉冲发生器、扫描发生器、任意波形发生器、合成信号源等。作为电子系统

13、非常 重要的组成部分的信号源,在很大程度上影响着系统的性能特点,因而常称之为 电子系统的测试信号源。随着电子技术的发展,对信号源的要求越来越高,要求 其输出频率高达微波频段甚至更高,频率范围从零 Hz 到几 GHz 频率分辨率达到 mHz 甚至更小,相应频点数更多;频率转换时间更是达到 ns 级,频谱纯度越来越高。 同时,对频率合成器能耗、体积大小、重量等也有更高的规格要求。现在要求信 号源能产生波形的种类多、频率范围高,而且还要体积小、可靠性高、操作简单 灵活、使用方便及可由计算机控制等特点。绽萬璉轆娛閬蛏鬮绾瀧。 当今高性能的信号源均通过频率合成技术来实现。随着计算机、数字集成电 路和微电

14、子技术的发展,频率合成技术有了新的突破,直接数字频率合成技术 (Direct Digital Synthesis DDS),它是将先进的数字信号处理理论与方法引入到信号 合成领域的一项新技术,它的出现为进一步提高信号的频率稳定度提供了新的解 决方法。同时,随着微电子技术的迅速发展,尤其是单片机技术的发展,智能仪 器也有了新的进展,功能更加完善,性能也更加可靠,智能程度也不断提高直接 数字式频率合成技术的出现导致了频率合成领域的一次重大革命。骁顾燁鶚巯瀆蕪領鲡赙。 直接数字频率合成器问世之初,构成 DDS 元器件的速度的限制和数字化引起 的噪声这两个主要缺点阻碍了 DDS 的发展与实际应用。近几

15、年超高速数字电路的 发展以及对 DDS 的深入研究,DDS 的最高工作频率以及噪声性能已接近并达到锁 相频率合成器相当的水平。瑣钋濺暧惲锟缟馭篩凉。 信号发生器是一种常用的信号源,广泛应用于电子测量、自动控制和工程设计 等领域。随着电子技术的发展,对信号源频率的稳定度、准确度以及频谱纯度的要 求越来越高。DDS(直接数字合成)技术是从相位概念出发直接合成所需波形的一种 新的频率合成技术,与传统的模拟式波形产生法相比,它具有相位变换连续、频率转 换速度快、分辨率高、稳定度高、相位噪声小、便于集成、易于调整及控制灵活 等多种优点。基于 DDS 技术的信号发生器是一类新型信号源,它已成为众多电子系

16、统中不可缺少的组成部分。鎦诗涇艳损楼紲鯗餳類。 唐唐 山山 学学 院院 毕毕 业业 设设 计计 2 2 DDS 概要 2.1 DDS 介绍 DDS 芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部 分(如 Q2220) 。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频 率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到 一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查 表得到) 。DDS 芯片输出的一般是数字化的正弦波,因此还需经过高速 D/A 转换 器和低通滤波器才能得到一个可用的模拟频率信号。 栉缏歐锄棗鈕种鵑瑶锬。 另外,有些 DDS 芯片还具有调幅、调频和调相等调制功能及片内 D/A 变换器 (如 AD7008) 。 DDS 的优点: 1.频率分辨率高,输出频点多,可达 2 的 N 次方个频点(N 为相位累加器位数); 2.频率切换速度快,可达 us 量级; 3.频率切换时相位连续; 4.可以输出宽带正交信号; 5.输出相位噪声低,对参考频率源的相位噪声有改善作用; 6.可以产

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 工学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号