基于DDS的AM调制讲解

上传人:最**** 文档编号:116282495 上传时间:2019-11-16 格式:DOC 页数:24 大小:926.88KB
返回 下载 相关 举报
基于DDS的AM调制讲解_第1页
第1页 / 共24页
基于DDS的AM调制讲解_第2页
第2页 / 共24页
基于DDS的AM调制讲解_第3页
第3页 / 共24页
基于DDS的AM调制讲解_第4页
第4页 / 共24页
基于DDS的AM调制讲解_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《基于DDS的AM调制讲解》由会员分享,可在线阅读,更多相关《基于DDS的AM调制讲解(24页珍藏版)》请在金锄头文库上搜索。

1、南京理工大学电子工程与光电技术学院基于DDS的fM调制器的设计姓名 俞锋学号 0704220253指导老师 蒋立平设计时间 2010.3.82010.3.14摘要:实验利用Quartus II 软件实现直接数字频率合成,具有频率字和相位字的控制功能,从而改变频率和相位。还具有相位清零功能以及波形切换功能。并且通过修改存储器ROM 中的数据来提高精度和设计图形。本文主要介绍实验中功能实现的方案,原理,以及相关操作。还有实验中遇到的问题和解决方法。关键字:数字频率合成 频率字 相位字 精度提高 Abstract The experiment is to design the Direct Digi

2、tal Synthesizer (DDS) with the Quartus II. The designed synthesizer should be able to change the phase and frequency ,and it also can set the phase to zero or change the waves. In addition, we can set the data in the ROM to improve the accuracy of the DDS and design special figures.The text is mainl

3、y to introduce the methods, principle, and operations. We also talk about the problems and solutions in the experiment.Key words Direct Digital Synthesizer frequency phase improve accuracy目 录1引言 (4)2设计要求 (6)3工作原理介绍 (7)4各个子模块设计原理 (8) 41 频率预置与调节电路 (8) 4. 2 累加器模块 (9) 43 波形存储模块 (11) 44 D/A转化器与低通滤波器 (12)

4、 45 调制与解调电路 (13) 4. 6 显示测频模块 (15) 4. 61 测频模块 (15) 4. 62 显示模块 (17) 4. 7 脉冲发生电路 (18)5仿真结果 (20)6设计结论和感想 (22)7实验总结 (23)8参考文献 (24)引言DDS 同DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 DDS的性能特点:DDS在相对带宽、频率转

5、换时间、高分头放力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。(1) 输出频率相对带宽较宽 输出频率带宽为50%fs(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%fs。 (2) 频率转换时间短 DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之后按照新的相位增量累加,才能实现频率的转换。因此,频率时间等于频率控制字的传输,也就是一个时钟周期的时间。时钟频率越高,转换时间越

6、短。DDS的频率转换时间可达纳秒数量级,比使用其它的频率合成方法都要短数个数量级。 (3) 频率分辨率极高 若时钟fs的频率不变,DDS的频率分辨率就是则相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于1mHz甚至更小。(4) 相位变化连续 改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。正文1、 设计要求1. 基本设计要求a) 利用QuartusII软件和SmartSOPC实验箱来实现DDS调制器的设计;b) DD

7、S中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的RAM实现,RAM结构配置成21210类型;c) 具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统时钟分频得到;d) 系统具有清零和使能的功能;e) 利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形;f) 通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证;2. 提高部分设计要求a) 通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路)b) 能够同时输出正余弦

8、两路正交信号;c) 在数码管上显示生成的波形频率;d) 充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度;e) 设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器;f) 自行添加其他功能。2、 工作原理介绍在进行正式的实验操作前,我们需要先了解DDS的具体实现原理,方能进行设计。图1 DDS实现的原理图根据以上的原理图,下面以正弦波为例,对各个部分进行简单介绍。波形存储器,即为ROM,用于存储波形数据。将一个周期内的正弦波,分为2N份,取每一点坐标下的正弦值,在将数据进行处理,扩展到0到2D-1中的取值。完成以上过程即完成了ROM数据的配置。N位是ROM的地址线宽度

9、,即ROM中存有2N数据;D位是ROM的数据线宽度,即ROM输出的数据范围是0到2D-1,这与以上ROM的数据配置相互对应。如果对ROM中数据逐一取值,输出的为阶梯波,但是通过D/A转换即可恢复正弦波形,累加器就是用于此。但ROM中的数据也可以间隔取值,这样会减少采样点的个数,但因为系统时钟频率fc=1MHz足够大,远远大于Nyquist频率,所以减少采样点,对恢复波形没有影响,但是可以缩短一个波形的输出时间(即TS),这样就能提高输出波形频率。即通过改变数据取样间隔,从而改变输出频率,达到频率可控。频率控制字K就用于改变采样间隔,频率控制字决定了累加器每次相加的数值,即在ROM中每个几个数据

10、取出一个输出,这样就达成了频率控制。总体电路图如下:图2 DDS调制器总体电路图3、 各个子模块设计原理:(1) 频率预置与调节电路作用:实现频率控制量的输入;不变量K被称为相位增量,也叫频率控制字。图3 频率控制字电路图中ENP使能端接k5开关。控制电路采用循环计数方式,不再另外设置清零端。当k5置1时,74163开始加计数。当k5置0时,74163处于保持状态。此时可由测频电路显示的频率换算出频率字。(2) 相位累加器相位累加器由12位的加法器和12位的寄存器组成,其原理图如下所示:图4 相位累加器相位累加器的作用是在频率为1MHz的时钟的作用下,进行相位累加,当相位累加器累加满量时就会产

11、生一次溢出,完成一个周期性的动作。其中的加法器用VHDL语言编写,寄存器则由硬件实现。具体实现如下:1、加法器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_signed.all;entity adder isport(op: in signed (14 downto 0);result: out signed (14 downto 0);end adder;architecture beh of adder issignal p: signed (14 down

12、to 0);beginP=001110111110001;result=OP+P;end beh;2、 寄存器图5 寄存器内部电路op211.0端输入的频率字,控制步长,addr11.0端输入的是寄存器中输出的,这样可以通过寄存器的clk脉冲控制地址线上的数值以步长为间隔变化,这样就可根据步长对ROM中的数据进行采样。采用寄存器是考虑到result11.0端输出的数据时间不统一,这样将影响到取样,并且要将数据进行累加时也需要脉冲,这就要由累加器提供,这也说明了寄存器的作用。对累加器进行波形仿真如下图6 累加器仿真波形图(3) 波形存储器其作用是进行波形的相位-幅值转换。原理是: ROM的N位地

13、址 把0O360O的正弦角度离散成具有2N个样值的序列;ROM的D位数据位则2N个样值的幅值量化为D位二进制数据。 图7 音频信号封装模型 图8 调制信号封装模型图9 音频信号发生电路图10 调制信号发生电路由电路图可以看出音频信号和调制信号采用同样的发生方法,其结构类似。故分析时,仅以音频信号为例。音频信号发生电路主要由三部分组成:频率控制字、累加器、记录正弦波的ROM。频率控制字与总电路中的频率控制字类似。不同的是为了更好的进行控制,增加了一个清零开关。电路结构如左图示。累加器结构如前,不再作说明。电路中使用的正弦波利用MATLAB软件生成波形并导入ROM中。MATLAB软件用到的公式如下:a) T=2*pi/4096;t=0:T:2*pi;/正弦波 A=(1+sin(t)*1023/2; B=round(A);b) T=2*pi/4096;t=0:T:2*pi-T;/方波 A=(1+square(t)*1023/2; B=round(A);c) T=2*pi/4096;t=0:T:2*pi-T;/锯齿波 A=(1+sawtooth(t)*1023/2; B=round(A);d) T=2*pi/4096;t=0:T:2*pi-T;/三角波 A=(1+square(t,0.5)*1023/2; B=round(A);

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号