低频数字相位测量仪.

上传人:我** 文档编号:116149980 上传时间:2019-11-16 格式:DOC 页数:24 大小:506.98KB
返回 下载 相关 举报
低频数字相位测量仪._第1页
第1页 / 共24页
低频数字相位测量仪._第2页
第2页 / 共24页
低频数字相位测量仪._第3页
第3页 / 共24页
低频数字相位测量仪._第4页
第4页 / 共24页
低频数字相位测量仪._第5页
第5页 / 共24页
点击查看更多>>
资源描述

《低频数字相位测量仪.》由会员分享,可在线阅读,更多相关《低频数字相位测量仪.(24页珍藏版)》请在金锄头文库上搜索。

1、现代电子学实验报告实验题目: 低频数字相位测量仪 姓 名: 年 级: 2012级 指导教师: 完成日期: 2015年10月14日 原创性声明本人声明本实验报告涉及的电路图、程序代码均为自己设计,没有抄袭他人的成果。特此声明! 声明人: 目录摘要.1一、系统设计要求与技术指标.2二、方案选择与可行性论证.22.1总体框架.22.2频率测量.22.3相位测量.3三、系统模块设计.33.1信号整形电路的设计.33.2 FPGA数据采集电路的设计.5 3.2.1硬件部分.5 3.2.2精度分析.5 3.2.3软件部分.53.3、单片机数据运算控制电路的设计.6 3.3.1硬件部分.6 3.3.2软件部

2、分 .7 3.4、数据显示电路的设计.10 3.4.1显示部分设计方案 .10 3.4.2数据显示电路.104、 参考资料.12附录.13主要器件介绍.13FPGA数据采集程序 .15硬件电路图.21低频数字式相位测量仪的设计摘要:基于过零检测法原理,以单片机89C51和可编程逻辑器件CPLD为核心,从数据采集数据运算控制、显示等电路功能电路设计,实现了一个低频数字式相位测量仪系统。在此过程中,采用MCU与FPGA相结合的方案,将软件部分为数据采集、运算、控制和单片机控制显示两部分,充分发挥单片机具有的控制、运算能力强,FPGA数据采集速度快的特点来对实现各个模块功能进行程序设计,同时还对相关

3、程序进行调试和仿真,验证了其可行性,使其性能接近最优。而对硬件电路设计包括采用施密特触发器组成的整形电路、显示电路、FPGA芯片及单片机外围电路等,实现了对频率信号频率、相位差的显示,同时配合系统完成数据采集、运算、控制等功能。在上述基础上,本文还对有关频率信号的频率、相位测量技术及理论进行了研究和分析,对FPGA可编程芯片、单片机控制等的运用进行了学习,从而为课题研究奠定了理论基础。关键字: 数据采集;单片机;FPGA;频率;相位差;20一、系统设计要求与技术指标设计并制作一个低频数字相位测量仪,其设计要求如下:(1) 频率范围:20 Hz20 kHz。(2) 相位测量仪的输入阻抗100 k

4、。(3) 允许两路输入正弦信号峰-峰值可分别在15 V范围内变化。(4) 相位测量绝对误差2。 (5) 具有频率测量及数字显示功能。(6) 相位差数字显示:相位读数为0359.9,分辨力为0.1。二、方案选择与可行性论证2.1、总体框架根据系统的设计要求,本系统可分为三大基本组成部分:数据采集电路、数据运算控制电路和数据显示电路。考虑到FPGA/CPLD具有集成度高,I/O资源丰富,稳定可靠,可现场在线编程等优点,而单片机具有很好的人机接口和运算控制功能,本系统拟用FPGA/CPLD和单片机相结合,构成整个系统的测控主体。FPGA主要负责测量两个同频待测正弦信号的频率和相位差所对应的时间差。单

5、片机则负责读取FPGA测量到的数据,并根据这些数据计算待测正弦信号的频率及两路同频正弦信号之间的相位差,同时通过功能键切换显示出待测信号的频率和相位差。同时,由于FPGA对脉冲信号比较敏感,而被测信号是周期相同,相位不同的两路正弦波信号,为了准确地测出两路正弦波信号的相位差及其频率,我们需要对输入波形进行整形,使正弦波变成方波信号,并输入FPGA进行处理。综上所述,这个系统的总体原理框图如图2.1所示。 图2.1系统原理框图根据题目要求,主要任务是频率和相位测量,几种测量方案比较如下:2.2、频率测量方案一:计数式直接测频法这是指在一定的时间间隔T内,对输入的周期信号脉冲计数为:N,则信号的频

6、率为fx= N /T。方案二:测周法设信号周期为Tx,由晶体振荡器(或经分频电路)输出时标为Ts的脉冲,将Tx与时标Ts进行比较,若在Tx期间脉冲技术值为N,则Tx=NTs。综合考虑量化误差与标准误差得出:测量低频时不宜采用直接测频方法,宜采用测低频信号的周期再换算成被测信号的频率,从而提高测量的精确度。为了提高测量准确度,把被测信号经过几级10分频电路,使周期扩大10,100,1000倍等,主门开放时间及脉冲数N均增长同样倍数,再通过内部电路自动移动小数点位置,使显示的数值为被测信号的一个周期所对应的时间。利用这种“周期倍乘”的方法可以减少1误差,从而提高了测量的准确度。2.3、相位测量我们

7、采用直读式数字相位计,其基本原理是基于时间间隔测量法,通过相位-时间转换器,将相位差的两个信号(分别称参考信号和被测信号)转换成一定的时间间隔的起始和停止脉冲。相位测量方案通常分为三种。方案一:将被测的两路正弦波信号经过波形整形为方波信号,利用异或门电路进行鉴相处理,将得到的脉冲序列经过RC平滑滤波取出其直流分量,该直流电平的幅值与两路信号的相位差成正比,将此信号送入A/D转换器由单片机进行运算处理从而计算出相位差值。方案二:采用脉冲填充计数法,将正弦信号经波形整形称为方波信号,其前后沿分别对应于正弦波的正相过零点与负相过零点,对两路方波信号进行异或操作之后输出脉冲序列的脉宽可以反映两列信号的

8、相位差, 以输入信号所整成的方波信号作为基频,经锁相环倍频得到的高频脉冲作为闸门电路的计数脉冲,由单片机对获取的计数值进行处理得到两路信号的相位差。方案三:将两路被测正弦波信号整成方波信号,在一路信号的上升沿来时鉴相部分同方案二,将两路方波信号异或后与品振的基准频率进行与操作,得到一系列的高频窄脉冲序列。通过两片计数器同时对该脉冲序列进行计数,一路方波信号送入单片机外部中断口,作为控制信号控制两片计数器,得到的两路技数值送入单片机进行处理得到相位差值。对以上三种方案进行比较,方案一在低频段时,RC滤波电路的输出波动很大,难以达到要求的相位精度,而方案二在所测频率较高时,受锁相环工作频率等参数的

9、影响会造成相位差测量的误差,极大地影响测量的精度,采用方案三由高精度的晶振产生稳定的基准频率,可以满足系统高精度、高稳定度的要求。三、系统模块设计3.1、信号整形电路的设计方案一:最简单的信号整形电路就是一个单门限电压比较器(如图3.11所示),当输入信号每通过一次零时触发器的输出就要产生一次突然的变化。当输入正弦波时,每过一次零,比较器的输出端将产生一次电压跳变,它的正负向幅度均受到供电电源的限制,因此输出电压波形是具有正负极性的方波,这样就完成了电压波形的整形工作。 图3.11 采用单门限触发器的整形电路方案二:使用施密特触发器组成的整形电路。施密特触发器在单门限电压比较器的基础上引入了正

10、反馈网络。由于正反馈的作用,它的门限电压随着输出电压Uo的变化而改变,因此提高了抗干扰能力。本系统中我们使用两个施密特触发器对两路信号进行整形,电路图如图3.12所示。图3.12 采用施密特触发器的整形电路方案比较:为了避免过零点多次触发的现象,提高抗干扰能力,减少计数误差,故采用方案二电路。图中,R2和R6均为上拉电阻,可选10K。通过调节电位器RV1,使得两个施密特触发器的门限电平相等。3.2、FPGA数据采集电路的设计FPGA数据采集电路的功能就是实现将待测正弦信号的周期、相位差转变为19位的数字量。FPGA数据采集的硬件电路我们可采用FPGA下载板来实现,该下载板包含FPGA芯片、下载

11、电路和配置存储器,其电路结构可参见对应的FPGA下载板说明书。本电路主要是进行FPGA的硬件描述语言(HDL)程序设计。 3.2.1、硬件部分:根据系统的总体设计方案,FPGA数据采集电路的输入信号有:CLK系统工作用时钟信号输入端;CLKAA,CLKBB两路被测信号输入端;EN单片机发出的传送数据使能信号,在EN的上升沿,FPGA向单片机传送数据;RSEL单片机发出的传送数据类型信号,当RSEL=0时,FPGA向单片机传送被测信号频率数据,当RSEL=1时,FPGA向单片机传送被测信号相位差数据。FPGA数据采集电路的输出信号有:DATA18.0FPGA到单片机的数据输出口,由输出控制信号EN和RSEL控制。 3.2.2、精度分析 本数字式相位测量仪的要求是测试并显示输入信号频率范围在20Hz20KHz,测试并显示a、b的相位差,相位差的变化范围为=

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号