基于vhdl的交通灯课程设计论文

上传人:好** 文档编号:115436052 上传时间:2019-11-13 格式:DOC 页数:20 大小:366.50KB
返回 下载 相关 举报
基于vhdl的交通灯课程设计论文_第1页
第1页 / 共20页
基于vhdl的交通灯课程设计论文_第2页
第2页 / 共20页
基于vhdl的交通灯课程设计论文_第3页
第3页 / 共20页
基于vhdl的交通灯课程设计论文_第4页
第4页 / 共20页
基于vhdl的交通灯课程设计论文_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《基于vhdl的交通灯课程设计论文》由会员分享,可在线阅读,更多相关《基于vhdl的交通灯课程设计论文(20页珍藏版)》请在金锄头文库上搜索。

1、电子课程设计 交通灯设计 学院:电子信息工程 专业、班级:电子072202H 姓名: 学号: 指导教师: 2009年12月十字路口的信号灯控制电路一、 设计任务与要求1、 实现一个十字路口的信号灯控制电路。2、 信号灯分别由红、黄、绿三种颜色组成,运行时,绿灯亮40秒钟,然后闪5秒,绿灯灭,黄灯亮2秒,黄灯灭,红灯亮,同时另一方向的绿灯亮,红灯亮的时间为47秒 。扩展要求:1、 增加显示功能,即每个灯亮时显示相应的秒数并倒计时。2、 增加左转功能,即信号灯由红灯、黄灯和两个绿灯组成,左转时间为15秒,红灯亮的时间调整为62秒。二、 总体框图分频器计数器控制器红绿灯显示显示控制电路扫描显示电路七

2、段数码管 图一交通灯控制系统框图1、设计思路:在某一十字路口交叉地带,可设置东西走向的道路为主道,南北走向的道路为次道,主次车道的交通灯需按交通法则交替运行。则可设计逻辑电路。2、分析系统的逻辑功能: 交通灯控制系统原理图如图一,由计数器、分频器、控制器、分位译码电路、扫描显示电路等部分组成。MGSRT1 MG SR T2 ST MY SRT3 ST ST MR SGT4 ST交通灯流程图 MG:主道绿灯 MY:主道黄灯 MR:主道红灯 MG:主道绿灯闪 SG:次道绿灯 SY:次道黄灯 SR:次道红灯 T1:40s T2:5s T3:2s T4:47s ST:状态转换量 状态一:主道绿灯次道红

3、灯持续时间小于40s时,T1=0状态保持不变,若持续时间等于40s时,T1=1转换到下一状态。 状态二:主道绿灯闪烁次道红灯持续时间小于5s时,T2=0状态保持不变,若持续时间等于5s时,T2=1转换到下一状态。 状态三:主道黄灯次道红灯持续时间小于2s时,T3=0状态保持不变,若持续时间等于2s时,T3=1转换到下一状态。状态三:主道红灯次道绿灯持续时间小于47s时,T4=0状态保持不变,若持续时间等于47s时,T4=1转换到下一状态。三、 选择器件1、 装有Altera公司QuartusII仿真软件的计算机一台。2、 选择FPGA器件:Cyclone中的EP1C12Q240C8。3、 ED

4、A-VI实验箱一台。4、 实现数据下载的数据线,导线。5、 开关以及LED灯。6、 四位八段数码显示管。 四、 功能模块模块一 :分频器分频器实现的是将高频时钟信号转换成低频时钟信号,clk信号经分频器将50MHz分为250Hz和1Hz提供给计数器、控制器和扫描显示电路所需的时钟计时脉冲。分频器模块(div) VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div isport(clk3:IN std_logic; clkout1,clkout2:OUT std_lo

5、gic); end div;architecture one of div isbeginprocess(clk3)variable cnt:integer range 0 to 25000000;variable tmp:std_logic;begin if(clk3event and clk3=1)then if cnt=24999999 then cnt:=0; tmp:=not tmp;else cnt:=cnt+1;end if;end if;clkout1=99999 then cnt:=0; tmp:=not tmp;else cnt:=cnt+1;end if;end if;c

6、lkout2124 THEN S:=1; else S:=S+1; IF 0S AND S41 THEN 40s内 G1=0; 主道绿灯亮 Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; 次道红灯亮 L2=1;ELSIF s=41 THEN 主道绿灯闪烁的第一秒 G1=1; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF s=42 THEN G1=0; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF s=43 THEN G1=1; Y1=1; R1=1; L1=1; G2=1;

7、Y2=1; R2=0; L2=1;ELSIF s=44 THEN G1=0; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF s=45 THEN 主道绿灯闪烁最后一秒 G1=1; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1; ELSIF 45S AND s61 THEN G1=1; Y1=1; R1=1; L1=0; 主道左转灯亮 G2=1; Y2=1; R2=0; L2=1; ELSIF 60S AND s63 THEN G1=1; Y1=0; 主道黄灯亮 R1=1; L1=1; G2=1; Y2=1; R2

8、=0; L2=1;ELSIF 62s AND s103 THEN G1=1; Y1=1; R1=0; 主道红灯亮 L1=1; G2=0; 次道绿灯亮 Y2=1; R2=1; L2=1; ELSIF S=103 THEN 次道绿灯闪烁第一秒 G1=1; Y1=1; R1=0; 主道红灯亮 L1=1; G2=1; Y2=1; R2=1; L2=1;ELSIF S=104 THEN G1=1; Y1=1; R1=0; L1=1; G2=0; Y2=1; R2=1; L2=1;ELSIF S=105 THEN G1=1; Y1=1; R1=0; L1=1; G2=1; Y2=1; R2=1; L2=1;ELSIF S=106 THEN G1=1; Y1=1; R1=0; L1=1; G2=0; Y2=1; R2=1; L2=1;ELSIF S=107 TH

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号