数字秒表实验报告---EDA

上传人:油条 文档编号:115237378 上传时间:2019-11-13 格式:DOC 页数:17 大小:1.82MB
返回 下载 相关 举报
数字秒表实验报告---EDA_第1页
第1页 / 共17页
数字秒表实验报告---EDA_第2页
第2页 / 共17页
数字秒表实验报告---EDA_第3页
第3页 / 共17页
数字秒表实验报告---EDA_第4页
第4页 / 共17页
数字秒表实验报告---EDA_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《数字秒表实验报告---EDA》由会员分享,可在线阅读,更多相关《数字秒表实验报告---EDA(17页珍藏版)》请在金锄头文库上搜索。

1、EDA课程设计题目:基于VHDL的数字秒表设计学生姓名 _学 号 _学 院 _专 业 _指导教师 _二零一二年十二月基于VHDL的数字秒表设计摘要当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计

2、算机应用能力、VHDL语言的编程能力和Quartus II的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1KAbstractThe electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of

3、 determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very

4、high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the e

5、xperiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1/1000 seconds stopwatch and simulation and the actual download to ALTERA companys ACEX

6、1K series EP1K30TC144-3 implementation.Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K目录摘要-2 Abstract-2一、设计要求-4二、设计思想与方案论证-42.1 设计思想-42.2 方案论证-4三、系统设计-53.1 顶层电路设计-53.2时钟分频电路模块-63.3十进制计数控制模块-73.4六进制计数控制模块-7四、系统仿真-84.1 模块仿真-84.1.1 时钟分频电路模块仿真 -84.1.2 十进制计数控制模块仿真-94.1.3 六进制计数控制模块仿真-94.2 总体仿真-10五、

7、下载实现-105.1 引脚分配-115.2 下载验证-11六、问题与不足-13七、心得体会-13参考文献-14附录-14附录1 :本设计各模块代码-14一、 设计要求设计用于体育比赛用的数字秒表,要求1、 计时精度大于1/1000秒,计时器能显示1/1000秒的时间,提供给计时器内部定时的时钟频率为12MHz;计时器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒2、设计有复位和起/停开关(1) 复位开关用来使计时器清零,并做好计时准备。 (2) 起/停开关的使用方法与传统的机械式计时器相同,即按一下起/停开关,启动计时器开始计时,再按一下起/停开关计时终

8、止。 (3) 复位开关可以在任何情况下使用,即使在计时过程中,只要按一下复位开关,计时进程立刻终止,并对计时器清零。二、设计思想与方案论证2.1设计思想通过分频器将12M晶振所提供的信号进行6000分频,生成脉冲作为计时信号,经计数器累加计数实现数字秒表计数的功能。设计采用七位LED数码管显示分、秒,需要5个10计数器和2个模6计数器。使用按键开关可实现开始/结束计时操作以及复位清零操作。2.2方案论证利用VHDL语言进行数字秒表设计有多种方法。可以利用原件例化语句将各模块联系起来,也可以使用原理图的方法实现此功能,考虑到此次设计中端口众多,使用例化语句繁琐易错,因此采用了条理清晰的绘制原理图

9、的方法生成顶层文件,实现数字秒表功能。在设计中可以将每个单独的功能写成一个独立的VHDL文件,此次设计中没有将控制模块独立出来,而是将它融合到十进制计数程序和六进制计数程序中,最终生成十进制计数控制器和六进制计数控制器。虽然显得繁琐,但是比较易于理解,程序中也比较容易操作。三、 系统设计整个系统设计是采用自顶向下分析,自底向上设计。将数字秒表系统的整体分解为各个模块电路。该部分详细介绍了数字秒表系统的各个模块的设计,并对各个模块的每一个部分进行了分析,在第四部分对系统模型进行了访真与程序调试。3.1 顶层电路设计在顶层设计中,要对内部分各功能块的连接关系和对外的接口关系进行描述,而功能块实际的

10、逻辑功能和具体的实现形式则由下一层模块来描述。3-1数字秒表原理图3-2顶层电路图根据数字秒表的原理图来进行顶层文件的设计。此次设计中使用了总线,从而简化了顶层电路图的绘制。3.2 时钟分频电路模块在基于EDA技术的数字电路系统设计中,分频电路应用十分广泛。常常用分频电路来得到数字系统中各种不同频率的控制信号。所谓分频电路,就是将一个给定的频率较高的数字输入信号经过适当处理后,产生一个或数个频率较低的数字输出信号。本设计需要一个计时范围为0.001s59分59.999秒的秒表,首先输入一个频率为12MHZ时钟信号源,由CLK输入,经其进行6000分频后获得一个比较精确的1000Hz计时脉冲,即

11、周期为1/1000秒的计时脉冲,由CLR_CNT输出。3-3分频器符号3.3 十进制计数控制模块计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。此次设计中为程序方便没有将按键控制功能单独设为一个模块,而是将其添加到了普通十进制计数器程序中,将两者综合生成十进制计数控制模块。3-4十进制计数控制模块符号其中CLK为时钟信号输入端、RST为复位信号输入端、 EN为使能控制信号输入端、 DOUT3.0为十进制计数数据输出端、 COUT为进位信号输出端。3.4 六进制计数控制模块六进制计数器与十进制计数器类似,同样此处为程序方便没有将按键控制功能单独设为一个模块,而是将其添加到了普通六进制计数器程序中,将两者综合生成六进制计数控制模块。3-5六进制计数控制模块符号其中CLK为时钟信

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号