基于DDS的数字移相信号发生器综述

上传人:我** 文档编号:113813865 上传时间:2019-11-09 格式:DOC 页数:18 大小:3.29MB
返回 下载 相关 举报
基于DDS的数字移相信号发生器综述_第1页
第1页 / 共18页
基于DDS的数字移相信号发生器综述_第2页
第2页 / 共18页
基于DDS的数字移相信号发生器综述_第3页
第3页 / 共18页
基于DDS的数字移相信号发生器综述_第4页
第4页 / 共18页
基于DDS的数字移相信号发生器综述_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《基于DDS的数字移相信号发生器综述》由会员分享,可在线阅读,更多相关《基于DDS的数字移相信号发生器综述(18页珍藏版)》请在金锄头文库上搜索。

1、基于DDS的数字移相信号发生器EDA课程设计课题名称_ 基于DDS的数字移相信号发生器 专 业_ 电子信息工程_ _ _ 班 级_ _ _ _ _ 学 号_ 姓 名_ _ _ 成 绩_ _ _ 指导教师_ _ _ _ 2014年 5 月7日 一、课程设计目的3二、设计任务3三、工作原理及模块分析31、频率预置与调节电路42、累加器43、波形存储器44、D/A转换器5四、相关程序51、加法器5(1)ADD105(2)ADD3272、寄存器8(1)REG10B8(2)REG32B103、ROM124、主程序14五、仿真结果:17六、引脚配置和下载18七、实验心得19一、课程设计目的1、进一步熟悉Q

2、uartus 的软件使用方法;2、熟悉利用VHDL设计数字系统并学习LPM_ADD_SUB、LPM ROM、LPM_FF的使用方法;3、学习FPGA硬件资源的使用和控制方法;4、 掌握DDS基本原理,学习利用此原理进行信号发生器的设计二、设计任务完成10位输出数据宽度的频率可调的移相正弦信号发生器,通过按键调节频率和初始相位,实现相位和频率可调的正弦信号发生器三、工作原理及模块分析直接数字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。与模拟式的频率锁相环PLL相比,它有许多优点,突出为(1)频率的切换迅速;(2)频率稳定度高。一个直接数字频率合成器由相位累加器

3、、波形ROM、D/A转换器和低通滤波器构成。DDS的原理框图如下所示:图 1 直接数字频率合成器原理图其中K为频率控制字, fc为时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟 fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。1、频率预置与调节电路不变量K被称为

4、相位增量,也叫频率控制字。DDS方程为:f0= fc K/2n,f0为输出频率,fc为时钟频率。当K=1时,DDS输出最低频率(也既频率分辩率)为fc /2nDDS的最大输出频率由 Nyguist 采样定理决定,即fc /2,也就是说K的最大值为2n-1.因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频率,只要改变频率控制字K即可。2、累加器相位累加器的原理图如下图图 2 相位累加器原理图相位累加器由N为加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端,寄存器将加法器在上一个时钟作用

5、后所产生的下数据反馈到加法器的输入端;以使加法器在下一个时钟作用下继续频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加,当相位累加器累加满量时,就产生一次溢出,完成一个周期性的动作,这个周期应为 uk= 2n / GCD(2N ;k),其中GCD表示最大公约数。3、波形存储器用相位累加器输出的数据作为波形存储器的取样地址进行波形的相位幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM相当于把00- 3600 的正弦信号离散成具有2n 样值的序列,若波形ROM有D位数据位,则2n个样值的幅值以D位二进制数值固化在ROM 中,按照地址的不同可以输出相宜相位的正弦

6、信号的幅值。相位-幅值变换原理图如下所示。图 3 相位-幅度变换原理图4、D/A转换器D/A转换器的作用是把已经合成的正弦波的数字量转换成模拟量,正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t),S(t)的周期为T=uk*Tc.。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出 波形的精度也就越高。四、相关程序1、加法器(1)ADD10LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY ADD10 ISP

7、ORT(dataa: IN STD_LOGIC_VECTOR (9 DOWNTO 0);datab: IN STD_LOGIC_VECTOR (9 DOWNTO 0);result: OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END ADD10;ARCHITECTURE SYN OF add10 ISSIGNAL sub_wire0: STD_LOGIC_VECTOR (9 DOWNTO 0);COMPONENT lpm_add_subGENERIC(lpm_direction: STRING;lpm_hint: STRING;lpm_type: STRING;lpm

8、_width: NATURAL);PORT(dataa: IN STD_LOGIC_VECTOR (9 DOWNTO 0);datab: IN STD_LOGIC_VECTOR (9 DOWNTO 0);result: OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END COMPONENT;BEGINresult ADD,lpm_hint = ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO,lpm_type = LPM_ADD_SUB,lpm_width = 10)PORT MAP(dataa = dataa,datab = datab,res

9、ult = sub_wire0);END SYN;(2)ADD32LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY ADD32 ISPORT(dataa: IN STD_LOGIC_VECTOR (31 DOWNTO 0);datab: IN STD_LOGIC_VECTOR (31 DOWNTO 0);result: OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END ADD32;ARCHITECTURE SYN OF add32 ISSIGNAL sub_wire0: S

10、TD_LOGIC_VECTOR (31 DOWNTO 0);COMPONENT lpm_add_subGENERIC (lpm_direction: STRING;lpm_hint: STRING;lpm_type: STRING;lpm_width: NATURAL);PORT(dataa: IN STD_LOGIC_VECTOR (31 DOWNTO 0);datab: IN STD_LOGIC_VECTOR (31 DOWNTO 0);result: OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END COMPONENT;BEGINresult ADD,lpm_

11、hint = ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO,lpm_type = LPM_ADD_SUB,lpm_width = 32)PORT MAP(dataa = dataa,datab = datab,result = sub_wire0);END SYN;2、寄存器(1)REG10BLIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY REG10B ISPORT(clock: IN STD_LOGIC ;data: IN STD_LOGIC_VECTOR (9 DOW

12、NTO 0);q: OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END REG10B;ARCHITECTURE SYN OF reg10b ISSIGNAL sub_wire0: STD_LOGIC_VECTOR (9 DOWNTO 0);COMPONENT lpm_ffGENERIC (lpm_fftype: STRING;lpm_type: STRING;lpm_width: NATURAL);PORT(clock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (9 DOWNTO 0);data: IN STD_LOGIC_VECTO

13、R (9 DOWNTO 0);END COMPONENT;BEGINq DFF,lpm_type = LPM_FF,lpm_width = 10)PORT MAP(clock = clock,data = data,q = sub_wire0);END SYN;(2)REG32BLIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY REG32B ISPORT(clock: IN STD_LOGIC ;data: IN STD_LOGIC_VECTOR (31 DOWNTO 0);q: OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END REG32B;ARCHITECTURE SYN OF reg32b ISSIGNAL sub_wire0: STD_LO

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号