数字信号传输性能分析仪设计

上传人:豆浆 文档编号:11368301 上传时间:2017-10-13 格式:DOC 页数:16 大小:1.99MB
返回 下载 相关 举报
数字信号传输性能分析仪设计_第1页
第1页 / 共16页
数字信号传输性能分析仪设计_第2页
第2页 / 共16页
数字信号传输性能分析仪设计_第3页
第3页 / 共16页
数字信号传输性能分析仪设计_第4页
第4页 / 共16页
数字信号传输性能分析仪设计_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《数字信号传输性能分析仪设计》由会员分享,可在线阅读,更多相关《数字信号传输性能分析仪设计(16页珍藏版)》请在金锄头文库上搜索。

1、1简易数字信号传输性能分析仪摘要:本文以 FPGA为核心,C8051f330 作为控制部分,设计了一种数字信号传输性能分析装置。它由数字信号发生器、伪随机信号发生器、模拟低通滤波器、加法电路以及数字信号分析模块等构成。数字信号发生模块和伪随机信号发生模块由 FPGA产生,伪随机信号发生器和低通滤波器模拟传输信道,数字信号分析模块由滤波和整形电路构成。 。 。 。 。 。 ,此外本系统还能实现 COD等功能,经测试,各项指标均能满足设计要求。信号经过四阶巴特沃斯低通滤波后,满足滤波器带外衰减不少于 40dB/十倍频程的要求,两者通过反向加法电路,相互叠加后输入数字信号分析电路,此电路中有滤波,整

2、形,产生方波后输入到 FPGA中测量其脉宽,以便提取同步信号,显示出它的眼图,还能产生曼彻斯特编码,提取其信号的同步时钟,与之产生眼图,达到了数字信号传输分析的功能及其各个指标。关键字:m 序列数字信号 低通滤波器 同步信号 眼图2一、方案设计与比较1.1 数字信号发生器的设计:方案一:使用中规模集成电路芯片实现指定数字信号及伪随机信号的发生,然后用门电路处理后输出信号;方案二:使用大规模集成电路(如 FPGA)中的移位寄存器及其门阵列实现指定信号的发生,直接输出 TTL 电平;方案一成本较低,但使用的芯片数量较多,硬件设计较复杂,而且进阶要求中曼彻斯特编码会增加硬件设计的负担;方案二使用现成

3、的 FPGA 开发板,可较方便且较快实现指定信号的设计输出。而且可较容易实现对信号的曼彻斯特编码。所以采用方案二。1.2 低通滤波器的设计:方案一:搭建RC无源滤波器。电路比较简单,可靠性高;但通带内的信号有能量损耗,负载效应比较明显。对于500K的截止频率,额外增加噪声,不适合高频滤波,给予排除。方案二:四阶巴特沃斯低通滤波器,通带内没有能量损耗,负载效应不明显,多级级联相互影响小。四阶巴特沃斯滤波满足带外衰减大于 40dB/十倍频程,通带平坦,满足设计要求。较方案一,方案二能量损耗小,负载效应不明显,切合题目要求,所以选择方案二。1.3 同步信号提取的设计方案一:先测曼切斯特信号的脉宽,得

4、到较大波的脉宽,根据产生曼切斯特信号的原理可得,该脉宽恰是其原始时钟的周期长度,根据此得到原始时钟的频率,进而得到同步信号。方案二:原序列一个循环周期中曼切斯特上升沿和下降沿的总和是固定的,在同一段时间内,可以把上升沿和下降沿的总数跟频率对应起来,即可以得到原来同步时钟的频率,频率输出由计数器实现,通过对计数器的异步清零与原序列进行相位同步,这样就可以得到同步信号。第二个方案测量的频率简单,易行,频率比原始的多或少,就在此基础上减掉几个或增加几个,对于不稳的频率或有过冲,更加准确,所以选择方案二。二、系统总体设计通过分析知,本检测装置主要有数字信号、伪随机信号发生模块、低通滤波模块、反相加法模

5、块、数字分析模块。系统整体框图如下图一所示。3F P G A伪随机信号数字信号 低通滤波器滤波 , 整形电路C 8 0 5 1 f 3 3 0 开发板显示按键图 1 系统总体框图数字信号和伪随机信号的 m序列都在 FPGA中产生,数字信号经过四阶巴特沃斯滤波后,相应频带的信号与伪随机信号叠加,进入数字信号分析电路,滤去噪声,整形成方波,输入到 FPGA中,测量其脉宽,得到同步信号,曼彻斯特编码产生的信号就能与提取的同步信号产生眼图了。三、理论分析与计算3.1 低通滤波器的设计巴特沃思滤波器具有通带最大平坦幅度特性,式(3-1)是n阶巴特沃思低通滤波器的幅频响应表达式 。(3-12212121(

6、)+()VCARAS R 1)截止角频率 : ; 12cRC截止频率: ;cf=电压增益: ;1VA由于设计要求每个滤波器带外衰减不少于 40dB/十倍频程,采用四阶电路,理论可达 80dB/十倍频程。四阶巴特沃思低通滤波器的传输函数为;A(S)=A1(S)A2(S) (3-2) 100kHz低通滤波电路如下:4图 2 低通滤波电路参数计算:R1=R2=15K; C9=C2=100PF; R3=R4=6.2K ; C12=500PF;截止频率: ;cf=215.7kHz电压增益: ;VA同样 200kHz低通滤波电路参数值如下:R1=R2=6.8K; C9=C2=100PF; R3=R4=3.

7、3K ; C12=500PF;截止频率: cf=215.7kHz电压增益: ;VA500kHz低通滤波电路参数值如下:R1=R2=3K; C9=C2=100PF; R3=R4=1.2K ; C12=500PF;截止频率: ;cf=530.kHz2电压增益: ;1VA由于设计要求滤波器通带增益在 0.24.0内可调,在滤波电路后接一级可调放大电路以满足设计需要。电压放大倍数为 010倍内可调。3.2 m序列数字信号m序列数字信号由线性移位寄存器产生,如图 3所示。主要由移位寄存器和反馈函数构成。反馈函数的输入端通过系数与移位寄存器的各级状态相连,通过反馈线作为 x1的输入。移位寄存器在时钟的作用

8、下把反馈函数的输出存入x1,在下一个时钟周期又把新的反馈函数的输出存入 x1而把原 x1的内容移入x2,依次循环下去,xn 不断输出。由题要求得,m 序列数字信号由线性移位寄存器产生,则 为 ,, 的模 2加 n。),.(21nxf1nx(3-3)6540821),.(xf (3-4)18715f ( , , , )1x2nx1x23x4nxccc 输出反馈线时钟图3 m序列数字信号产生过程框图数字信号 V1: f1(x) = 的 m 序列,由式子可用右移8位84321(xxf 的寄存器,再由4输入异或门,或门及8输入与门实现。伪随机信号 V3: 的 m序列由式子可用右移12位的寄存12542

9、f器,再由4输入异或门,或门及12输入与门实现。3.3 同步信号提取首先要把模拟信号变成数字信号,滤掉高频噪声信号,由于曼彻斯特编码与原序列的关系是曼彻斯特码的上升沿表示原序列的1 ,下降沿表示原序列的0,可以由原序列与同步时钟异或得到,那么原序列一个循环周期中曼切斯特上升沿和下降沿的总和是固定的,在同一段时间内,可以把上升沿和下降沿的总数跟频率对应起来,即可以得到原来同步时钟的频率,频率输出由计数器实现,通过对计数器的异步清零与原序列进行相位同步,这样就可以得到同步信号。3.4 眼图显示方法观测眼图可以对信号质量作出定量和定性分析,眼图的眼张开越大越好,线条越清晰越好,眼图稳定比抖动好,单线

10、比多线好,希望交叉点在幅值的中间 ,图形对称。只要系统有同步信号,就可以把同步时钟和信号输到示波器中,由同步时钟外部触发显示眼图。在发挥部分中,输入端同步信号不可用,则需要从曼彻斯特码中提取同步信号时钟,就可以显示眼图。四、单元电路分析与设计41 低通滤波设计由于设计要求每个滤波器带外衰减不少于40dB/十倍频程,采用四阶巴特沃斯电路。当截止频率为100KHZ时,电路如下图所示:6图4 100KHZ低通滤波电路截止频率为200KHZ时,电路如下图:图5 200KHZ低通滤波电路截止频率为500khz时,电路如下:图6 500KHZ低通滤波电路4.2 反向加法电路7数字信号与伪随机信号两者相互叠

11、加,因滤波电路使输出反向,于是采用反向求和电路。伪随机信号输入的频率达到10M,使用270M高速宽频的THS4001作为运放。图 7反向加法电路4.3 数字分析电路电路4.3.1 滤波电路 为了更好的显示波形,频率测量要准确,产生的方波要能准确表达信号的频率,要滤掉加入的噪声,因为输入数字信号最大为 100KHZ,于是使用前面截止频率为 500KHZ的四阶巴特沃斯电路。4.3.2 整形电路经过滤波隔直后的信号有正有负,使用 LM311作为比较器,进行过零比较,为使输出的信号能接入 FPGA中进行同步信号的提取,输出端直接接 3.3V电源,以便输给 FPGA。图 8 整形电路8五、系统程序设计5

12、.1 系统软件总体流程图计 数 器 分 频1 0 1 0 0 K单 片 机 信 号线 性 移 位 寄存 器编 码 ?曼 彻 斯 特 编码M 序 列否是输 出C L K锁 相 环 分 频1 0 M线 性 移 位 寄存 器数 字 信 号伪 随 机 信号图 9 软件流程图信号发生部分:单片机发出控制频率的信号,改变步进来控制数字信号的数据率,数据率由计数器对 50M系统时钟的分频得到,然后通过线性移位寄存器得到一个 m序列,通过单片机的控制信号切换 m序列输出和曼彻斯特编码输出这两种模式。同步信号提取部分:叠加信号输入后进行滤波,滤去噪声,整形成方波,输入到 FPGA中,测量其频率,频率输出由计数器

13、实现,通过对计数器的异步清零与原序列进行相位同步,这样就可以得到同步信号。六、系统测试6.1测试仪器 GOS-2064型数字示波器GOS-620型模拟示波器LPS-305电源F10A型数字合成函数信号发生器6.2测试数据6.2.1数据率测试测试方法:由单片机控制FPGA输出伪随机信号的数据率,改变数据率。FPGA输出端口通过探针与示波器相连,比较设定值与实测值误差。表一 数据率测试表设定信号频率(Hz) 实际信号频率(Hz) 误差%10000 10000.2 0.002920000 20000.3 0.001530000 29940.6 0.19840000 40000.7 0.0017550

14、000 50000.8 0.001660000 60242.1 0.403570000 70423.7 0.60528571480000 79366.6 0.7917590000 89287.3 0.791888889100000 100002 0.002测试结果分析:设定频率与实测频率误差最大为0.791888889%,满足误差绝对值不大于1%的要求。6.2.2 通带增益测试测试方法:先选定一个数据传输通道,输入3V的正弦波,改变放大器部分的滑动变阻器电阻,进而改变放大倍数,测量输出电压。表二为截止频率为100KHZ的增益数据,表三为截止频率为200KHZ的增益数据,表四为截止频率为500K

15、HZ的增益数据。表二 通带增益测试表一输入频率(HZ)Au1 Au2 Au3 Au4 Au5100 0.188 0.686667 3.12 4 6.5333331K 0.188 0.686667 3.12 4 6.53333310K 0.186667 0.686667 3.12 4 6.53333390K 0.137333 0.48 2.293333 2.933333 4.626667100K 0.124 0.436667 2.08 2.666667 4.2表三 通带增益测试表二输入频率(HZ)Au1 Au2 Au3 Au4 Au51K 0.2 0.653333 1.64 3.226667 6

16、.53333310K 0.2 0.653333 1.64 3.226667 6.533333100K 0.178667 0.62 1.6 3.093333 6.333333180K 0.142667 0.493333 1.253333 2.4 5.133333200K 0.124 0.426667 1.066667 2.16 4.3表四 通带增益测试表三输入频率(HZ)Au1 Au2 Au3 Au4 Au510K 0.185333 1.113333 2.266667 4.033333 6.533333100K 0.185333 1.106667 2.266667 4.033333 6.533333400K 0.154667 0.926667 1.946

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号