OV7670使用说明和程序讲解资料

上传人:f****u 文档编号:113644631 上传时间:2019-11-09 格式:PDF 页数:27 大小:1.11MB
返回 下载 相关 举报
OV7670使用说明和程序讲解资料_第1页
第1页 / 共27页
OV7670使用说明和程序讲解资料_第2页
第2页 / 共27页
OV7670使用说明和程序讲解资料_第3页
第3页 / 共27页
OV7670使用说明和程序讲解资料_第4页
第4页 / 共27页
OV7670使用说明和程序讲解资料_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《OV7670使用说明和程序讲解资料》由会员分享,可在线阅读,更多相关《OV7670使用说明和程序讲解资料(27页珍藏版)》请在金锄头文库上搜索。

1、第第三十八三十八章章 摄像头实验摄像头实验 ALIENTEK 精英 STM32 开发板板载了一个摄像头接口(P6) ,该接口可以用来连接 ALIENTEK OV7670 摄像头模块。本章,我们将使用 STM32 驱动 ALIENTEK OV7670 摄像头 模块,实现摄像头功能。本章分为如下几个部分: 38.1 OV7670 简介 38.2 硬件设计 38.3 软件设计 38.4 下载验证 38.1 OV7670 简介简介 OV7670 是 OV(OmniVision)公司生产的一颗 1/6 寸的 CMOS VGA 图像传感器。该传 感器体积小、工作电压低,提供单片 VGA 摄像头和影像处理器

2、的所有功能。通过 SCCB 总 线控制,可以输出整帧、子采样、取窗口等方式的各种分辨率 8 位影像数据。该产品 VGA 图像最高达到 30 帧/秒。用户可以完全控制图像质量、数据格式和传输方式。所有图像处理 功能过程包括伽玛曲线、白平衡、度、色度等都可以通过 SCCB 接口编程。OmmiVision 图 像传感器应用独有的传感器技术,通过减少或消除光学或电子缺陷如固定图案噪声、托尾、 浮散等,提高图像质量,得到清晰的稳定的彩色图像。 OV7670 的特点有: 高灵敏度、低电压适合嵌入式应用 标准的 SCCB 接口,兼容 IIC 接口 支持 RawRGB、 RGB(GBR4:2:2, RGB56

3、5/RGB555/RGB444), YUV(4:2:2)和 YCbCr (4:2:2)输出格式 支持 VGA、CIF,和从 CIF 到 40*30 的各种尺寸输出 支持自动曝光控制、自动增益控制、自动白平衡、自动消除灯光条纹、自动黑电平 校准等自动控制功能。同时支持色饱和度、色相、伽马、锐度等设置。 支持闪光灯 支持图像缩放 OV7670 的功能框图图如图 38.1.1 所示: 图 38.1.1 OV7670 功能框图 OV7670 传感器包括如下一些功能模块。 1.感光整列(感光整列(Image Array) OV7670 总共有 656*488 个像素,其中 640*480 个有效(即有效

4、像素为 30W) 。 2.时序发生器(时序发生器(Video Timing Generator) 时序发生器具有的功能包括:整列控制和帧率发生(7 种不同格式输出) 、内部信号发 生器和分布、 帧率时序、 自动曝光控制、 输出外部时序 (VSYNC、 HREF/HSYNC 和 PCLK) 。 3.模拟信号处理(模拟信号处理(Analog Processing) 模拟信号处理所有模拟功能,并包括:自动增益(AGC)和自动白平衡(AWB) 。 4.A/D 转换(转换(A/D) 原始的信号经过模拟处理器模块之后 , 分G和BR两路进入一个10 位的A/D 转换器, A/D 转换器工作在 12M 频率

5、,与像素频率完全同步(转换的频率和帧率有关) 。 除 A/D 转换器外,该模块还有以下三个功能: 黑电平校正(BLC) U/V 通道延迟 A/D 范围控制 A/D 范围乘积和 A/D 的范围控制共同设置 A/D 的范围和最大值,允许用户根据应用调 整图片的亮度。 5.测试图案发生器(测试图案发生器(Test Pattern Generator) 测试图案发生器功能包括: 八色彩色条图案、 渐变至黑白彩色条图案和输出脚移位 “1” 。 6.数字处理器(数字处理器(DSP) 这个部分控制由原始信号插值到 RGB 信号的过程,并控制一些图像质量: 边缘锐化(二维高通滤波器) 颜色空间转换( 原始信号

6、到 RGB 或者 YUV/YCbYCr) RGB 色彩矩阵以消除串扰 色相和饱和度的控制 黑/白点补偿 降噪 镜头补偿 可编程的伽玛 十位到八位数据转换 7.缩放功能(缩放功能(Image Scaler) 这个模块按照预先设置的要求输出数据格式,能将 YUV/RGB 信号从 VGA 缩小到 CIF 以下的任何尺寸。 8.数字视频接口(数字视频接口(Digital Video Port) 通过寄存器 COM21:0,调节 IOL/IOH 的驱动电流,以适应用户的负载。 9.SCCB 接口(接口(SCCB Interface) SCCB 接口控制图像传感器芯片的运行,详细使用方法参照光盘的Omni

7、Vision Technologies Seril Camera Control Bus(SCCB) Specification这个文档 10.LED 和闪光灯的输出控制(和闪光灯的输出控制(LED and Storbe Flash Control Output) OV7670 有闪光灯模式,可以控制外接闪光灯或闪光 LED 的工作。 OV7670 的寄存器通过 SCCB 时序访问并设置,SCCB 时序和 IIC 时序十分类似,在本 章我们不做介绍,请大家参考光盘的相关文档。 接下来我们介绍一下 OV7670 的图像数据输出格式。首先我们简单介绍几个定义: VGA,即分辨率为 640*480

8、的输出模式; QVGA,即分辨率为 320*240 的输出格式,也就是本章我们需要用到的格式; QQVGA,即分辨率为 160*120 的输出格式; PCLK,即像素时钟,一个 PCLK 时钟,输出一个像素(或半个像素)。 VSYNC,即帧同步信号。 HREF /HSYNC,即行同步信号。 OV7670 的图像数据输出(通过 D7:0)就是在 PCLK,VSYNC 和 HREF/ HSYNC 的控 制下进行的。首先看看行输出时序,如图 38.1.2 所示: 图 38.1.2 OV7670 行输出时序 从上图可以看出,图像数据在 HREF 为高的时候输出,当 HREF 变高后,每一个 PCLK

9、时钟,输出一个字节数据。比如我们采用 VGA 时序,RGB565 格式输出,每 2 个字节组成 一个像素的颜色(高字节在前,低字节在后) ,这样每行输出总共有 640*2 个 PCLK 周期, 输出 640*2 个字节。 再来看看帧时序(VGA 模式) ,如图 38.1.3 所示: 图 38.1.3 OV7670 帧时序 上图清楚的表示了 OV7670 在 VGA 模式下的数据输出, 注意, 图中的 HSYNC 和 HREF 其实是同一个引脚产生的信号,只是在不同场合下面,使用不同的信号方式,我们本章用到 的是 HREF。 因为 OV7670 的像素时钟(PCLK)最高可达 24Mhz,我们用

10、 STM32F103ZET6 的 IO 口直接抓取,是非常困难的,也十分占耗 CPU(可以通过降低 PCLK 输出频率,来实现 IO 口抓取,但是不推荐) 。所以,本章我们并不是采取直接抓取来自 OV7670 的数据,而是通 过 FIFO 读取, ALIENTEK OV7670 摄像头模块自带了一个 FIFO 芯片, 用于暂存图像数据, 有了这个芯片,我们就可以很方便的获取图像数据了,而不再需要单片机具有高速 IO,也 不会耗费多少 CPU,可以说,只要是个单片机,都可以通过 ALIENTEK OV7670 摄像头模 块实现拍照的功能。 接下来我们介绍一下 ALIENTEK OV7670 摄像

11、头模块。该模块的外观如图 38.1.4: 图 38.1.4 ALIENTEK OV7670 摄像头模块外观图 模块原理图如图 38.1.5 所示: 图 38.1.5 ALIENTEK OV7670 摄像头模块原理图 从上图可以看出,ALIENTEK OV7670 摄像头模块自带了有源晶振,用于产生 12M 时 钟作为 OV7670 的 XCLK 输入。同时自带了稳压芯片,用于提供 OV7670 稳定的 2.8V 工作 电压,并带有一个 FIFO 芯片(AL422B) ,该 FIFO 芯片的容量是 384K 字节,足够存储 2 帧 QVGA 的图像数据。模块通过一个 2*9 的双排排针(P1)与

12、外部通信,与外部的通信信 号如表 38.1.1 所示: 信号信号 作用描述作用描述 信号信号 作用描述作用描述 VCC3.3 模块供电脚,接 3.3V 电源 FIFO_WEN FIFO 写使能 GND 模块地线 FIFO_WRST FIFO 写指针复位 OV_SCL SCCB 通信时钟信号 FIFO_RRST FIFO 读指针复位 OV_SDA SCCB 通信数据信号 FIFO_OE FIFO 输出使能(片选) FIFO_D7:0 FIFO 输出数据(8 位) OV_VSYNC OV7670 帧同步信号 FIFO_RCLK 读 FIFO 时钟 表 38.1.1 OV7670 模块信号及其作用描

13、述 下面我们来看看如何使用 ALIENTEK OV7670 摄像头模块(以 QVGA 模式,RGB565 格式为例) 。对于该模块,我们只关心两点:1,如何存储图像数据;2,如何读取图像数据。 首先,我们来看如何存储图像数据。 ALIENTEK OV7670 摄像头模块存储图像数据的过程为: 等待 OV7670 同步信号FIFO 写指针复位FIFO 写使能等待第二个 OV7670 同步信号FIFO 写禁止。通过以上 5 个步 骤,我们就完成了 1 帧图像数据的存储。 接下来,我们来看看如何读取图像数据。 在存储完一帧图像以后,我们就可以开始读取图像数据了。读取过程为:FIFO 读指针 复位给

14、FIFO 读时钟(FIFO_RCLK)读取第一个像素高字节给 FIFO 读时钟读取第 一个像素低字节给 FIFO 读时钟读取第二个像素高字节循环读取剩余像素结束。 可以看出,ALIENTEK OV7670 摄像头模块数据的读取也是十分简单,比如 QVGA 模 式,RGB565 格式,我们总共循环读取 320*240*2 次,就可以读取 1 帧图像数据,把这些数 据写入 LCD 模块,我们就可以看到摄像头捕捉到的画面了。 OV7670 还可以对输出图像进行各种设置,详见光盘OV7670 中文数据手册 1.01和 OV7670 software application note这两个文档,对 AL

15、422B 的操作时序,请大家参考 AL422B 的数据手册。 了解了 OV7670 模块的数据存储和读取,我们就可以开始设计代码了,本章,我们用一 个外部中断,来捕捉帧同步信号(VSYNC) ,然后在中断里面启动 OV7670 模块的图像数据 存储,等待下一次 VSHNC 信号到来,我们就关闭数据存储,然后一帧数据就存储完成了, 在主函数里面就可以慢慢的将这一帧数据读出来,放到 LCD 即可显示了,同时开始第二帧 数据的存储,如此循环,实现摄像头功能。 本章,我们将使用摄像头模块的 QVGA 输出(320*240) ,刚好和精英 STM32 开发板使 用的 LCD 模块分辨率一样, 一帧输出就

16、是一屏数据, 提高速度的同时也不浪费资源。 注意: ALIENTEK OV7670 摄像头模块自带的 FIFO 是没办法缓存一帧的 VGA 图像的,如果使用 VGA 输出,那么你必须在 FIFO 写满之前开始读 FIFO 数据,保证数据不被覆盖。 38.2 硬件设计硬件设计 本章实验功能简介:开机后,初始化摄像头模块(OV7670),如果初始化成功,则在 LCD 模块上面显示摄像头模块所拍摄到的内容。我们可以通过 KEY0 设置光照模式(5 种 模式)、通过 KEY1 设置色饱和度,通过 KEY_UP 设置对比度,通过 TPAD 设置特效(总 共 7 种特效)。通过串口,我们可以查看当前的帧率(这里是指 LCD 显示的帧率,而不是 指 OV7670 的输出帧率),同时可以借助 USMART 设置 OV7670 的寄存器,方便大家调 试。DS0 指示程序运行状态。 本实验用到的硬件资源有: 1) 指示灯 DS0 2) KEY0/

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号