Modelsim仿真包含ROM文件的方法

上传人:豆浆 文档编号:11122172 上传时间:2017-09-02 格式:PDF 页数:3 大小:113.50KB
返回 下载 相关 举报
Modelsim仿真包含ROM文件的方法_第1页
第1页 / 共3页
Modelsim仿真包含ROM文件的方法_第2页
第2页 / 共3页
Modelsim仿真包含ROM文件的方法_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《Modelsim仿真包含ROM文件的方法》由会员分享,可在线阅读,更多相关《Modelsim仿真包含ROM文件的方法(3页珍藏版)》请在金锄头文库上搜索。

1、深圳市信谱思诺技术有限公司 Modelsim仿真包含rom文件的方法 如果fpga逻辑中包含有rom的初始化数据,其文件后缀为mif,mif含义为memory initialization file 的缩写,中文意思就是存储器初始化文件。这种文件可以被Altera的quartus识别并读取,但modelsim无法直接读取这种格式的文件。需要将文件格式转换为hex才行。为了在modelsim中读入该文件,还需要将rom接口文件中对应mif文件的位置修改为hex文件。详细过程如下: 1. 首先修改modelsim安装目录下的modelsim.ini文件。将其只读属性去掉,在vsim部里添加一行“V

2、eriuser = xxx/convert_hex2ver.dll”,保存文件,将只读属性改回来。该dll库一般在altera的安装目录下找,若找不到可以到网上搜索下载即可。该库就是把hex文件转换成ModelSim能认出来的ver文件的动态链接库文件; 2. 按普通方式在ModelSim中新建项目,建立work库,在库中添加需要仿真的文件和Altera的系统库文件altera_mf.v和220model.v,一起编译。 3. 最后,也是最重要的一点,一定要修改rom.v文件中的altsyncram_component.init_file,将初始化文件由“.mif”修改为”.hex”,否则仿真

3、时无法读取hex数据。 4. 在Quartus中将mif文件格式转换为hex格式,只要打开并另存为hex格式就可以了。 上述过程准备完毕,就可以开始仿真了。 1. 首先执行Compile-Compile All,对所有工程文件进行编译。 2. 在work库中找到testbench对象,通过右键菜单执行仿真 深圳市信谱思诺技术有限公司 3. 随后,modelsim会将仿真的接口对象列出来,为了观察仿真的允许结果,需要将这些接口信号拉到一个视图中。将需要观察的信号都可以通过下面的方法添加到视图中。 深圳市信谱思诺技术有限公司 4. 随后就进入到了视图窗口,通过几个按钮完成仿真的实际运行。 5. 最后,当然要记得在实际的fpga工程中需要将rom.v文件中的init_file由hex修改回mif。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号