1毕业设计论文_基于FPGA电子密码锁设计

上传人:简****9 文档编号:109718147 上传时间:2019-10-27 格式:DOC 页数:18 大小:82.50KB
返回 下载 相关 举报
1毕业设计论文_基于FPGA电子密码锁设计_第1页
第1页 / 共18页
1毕业设计论文_基于FPGA电子密码锁设计_第2页
第2页 / 共18页
1毕业设计论文_基于FPGA电子密码锁设计_第3页
第3页 / 共18页
1毕业设计论文_基于FPGA电子密码锁设计_第4页
第4页 / 共18页
1毕业设计论文_基于FPGA电子密码锁设计_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《1毕业设计论文_基于FPGA电子密码锁设计》由会员分享,可在线阅读,更多相关《1毕业设计论文_基于FPGA电子密码锁设计(18页珍藏版)》请在金锄头文库上搜索。

1、1毕业设计论文_基于FPGA电子密码锁设计.txt爱情是彩色气球,无论颜色如何严厉,经不起针尖轻轻一刺。一流的爱人,既能让女人爱一辈子,又能一辈子爱一个女人! 本文由没有月亮太阳贡献 doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。 摘 要 随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性 差的机械式密码锁已是必然趋势。电子密码锁与普通机械锁相比,具有许多独特的优 点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密 码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软 件方式。在实际应用中,

2、由于程序容易跑飞,系统的可靠性能较差。 本文主要阐述了一种基于现场可编程门阵列 FPGA 器件的电子密码锁的设计方 法。用 FPGA 器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠 性大为提高。由于 FPGA 具有现场可编程功能,当设计需要更改时,只需更改 FPGA 中的控制和接口电路,利用 EDA 工具将更新后的设计下载到 FPGA 中即可,无需更 改外部电路的设计,大大提高了设计的效率。因此,采用 FPGA 开发的数字系统,不 仅具有很高的工作可靠性,而且升级也极其方便。 本文采用 EDA 技术,利用 Quartus II 工作平台和硬件描述语言,设计了一种电 子密码锁,并

3、通过一片 FPGA 芯片实现。 关键词: 关键词:电子密码锁;FPGA;硬件描述语言;EDA Abstract With the development of electronic technology, electronic password lock with burglar alarm and other functions replacing less password and poor security mechanical code lock is an inevitable trend. compared electronic password lock with ordinar

4、y mechanical locks, it has many unique advantages : confidentiality, and security in nature, do not use the key, remember password can unlock it etc .Most electronic password locks we used now is based upon SCM technology ,SCM is its mainly device ,and the creating of encoding and decoding devices i

5、s the fashion of Software mode. In practical application, the reliability of the system may be worse because of easy running fly of the programme. This paper mainly expatiates a design method of electronic password lock based upon Field Programmable Gate Array device. We use FPGA devices to construc

6、t system , all of the algorithm entirely achieved by the hardware circuit , because of FPGA has the function of ISP , when the design needs to be changed We only need to change the control and interface circuit of FPGA,EDA tools are used to download the updated design to FPGA without changing the de

7、sign of the external circuit , this greatly enhance the efficiency of the design .Therefore , we use FPGA to empolder the digital system has not only high reliability but also extremely convenient of upgrading and improvement .In this paper ,we use EDA technology , Quartus II platform and hardware d

8、escription language designing an electronic password lock ,and it achieved through an FPGA chip. Key words: electronic password lock; FPGA; hardware description language; EDA : 目 录 1 绪论 (标题部分的格式很多不对,检查修改) 1 1.1 本课题研究的国内外现状及其发展 2 1.2 本课题研究的目的和意义 2 2 关键技术简介 4 2.1 FPGA 硬件设计描述 4 2.1.1 2.1.2 2.1.3 FPGA 的

9、设计流程 4 VHDL 语言的基本结构 6 自上而下(TOP DOWN)的设计方法 7 2.2 设计语言、仿真平台与开发系统 8 2.3 用 Quartus进行系统开发的设计流程 8 3 系统总体设计 9 3.1 电子密码锁设计的原理 9 3.2 方案的提出 10 3.3 系统设计要求 11 3.4 系统设计描述 11 3.5 各功能模块描述 12 3.6 系统流程 13 4 系统详细设计 15 4.1 输入模块 15 4.1.1 4.1.2 4.1.3 4.1.4 4.1.5 时序产生电路 16 按键消抖电路 17 键盘扫描电路 20 键盘译码电路 21 键盘输入模块的实现 22 4.2 电

10、子密码锁控制模块 23 4.2.1 4.2.2 4.2.3 控制模块的描述 23 控制模块的状态图与 ASM 图 错误!未定义书签。 错误!未定义书签。 控制模块的实现 27 4.3 电子密码锁显示模块 28 I 4.3.1 4.3.2 数码管显示原理 28 译码显示的实现 31 5 系统仿真 32 5.1 系统的有关编译与仿真 32 5.2 去抖模块的仿真 32 5.3 密码锁输入电路的仿真 33 5.4 密码锁控制电路的仿真 34 5.5 系统整体仿真 35 5.6 数码管译码器仿真 36 6 .结束语 37 致谢 38 参考文献 39 II 基于 FPGA 的电子密码锁设计 1 绪论 随

11、着大规模和超大规模可编程器件在 FPGA 技术支持下的广泛应用,使现代化 设计计算已进入一个全新的阶段,从设计思想、设计工具一直到实现方式都发生了诸 多变化。 在 FPGA 技术中, 最为引人瞩目的是以现代电子技术为特征的逻辑设计仿真测试 技术。 改技术只需通过计算机就能对所设计的电子系统从不同层次的性能特点上进行 一些列准确测试和仿真;在完成实际系统的设计后,还能对系统上的目标器件进行边 界扫描测试。随着技术的发展,科技的日趋夜新电子密码锁种类比较多,发展更是 快。电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放 多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新

12、或配制钥匙里开锁密 码。一把电子锁可配制多把钥匙。下面就是现在主流电子密码锁。 遥控式电子防盗锁目前常见的遥控式电子防盗锁主要有光遥控和无线电遥控两 类。键盘式电子密码锁从目前的技术水平和市场认可程度看,使用最为广泛的是键盘 式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱 和运钞车。 卡式电子防盗锁使用各种“卡”作为钥匙的电子防盗锁是当前最为活跃的产 品,无论卡的种类如何多种多样,按照输入卡的操作方式,都可分为接触式卡和非接 触式卡两大类。生物特征防盗锁人的某些与生俱来的个性特征(如手、眼睛、声音的 特征)几乎不可重复,作为“钥匙”就是唯一的(除非被逼迫或伤害) ,

13、因此,利用生 物特征做密码的电子防盗锁,也特别适合金融业注重“验明正身”的行业特点。 本文介绍的基于 FPGA 的电子密码锁电路具有显示接口, 显示时可以是明文也可 以是密文星号。由于 FPGA 具有 ISP 功能,当用户需要更改时,如增加口令位数和更 改口令权限管理时,只需更改 FPGA 中的控制和接口电路,利用 EDA 工具将更新后 的设计下载到 FPGA 中即可,无需更改外部电路,这就大大提高了设计效率。而且采 用 FPGA 设计的电子密码锁与单片机控制的电子密码锁相比结构简单、 具有更高的系 统保密性和可靠性。这种基于 FPGA 的电子密码锁可以应用在办公室、仓库、宾馆等 人员经常变动

14、的场所。 FPGA 技术是现代电子工程领域的一门新技术,提供了基于计算机和信息技术的 电路系统设计的方法。它是从计算机辅助设计(CAD) 、计算机辅助制造(CAM) 、 计算机辅助测试(CAT)和计算机辅助工程(CAE)等技术发展而来的。设计者只需 从而为电子产品 要对系统功能进行描述, FPGA 工具的帮助下即可完成系统设计, 在 的设计和开发缩短了实践降低了成本,提高了系统的可靠性。 -1- 基于 FPGA 的电子密码锁设计 1.1 本课题研究的国内外现状及其发展 随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自 古以来就是把守护门的铁将军,人们对它要求甚高,既要安全

15、可靠的防盗,又要使用 方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运 而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从 20 世纪 30 年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成 开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码 量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。电 子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被 越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC 卡锁, 生物锁等。但较实用的还是按键式电子密码锁。 20 世纪 80 年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠 性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量, 使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。 目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被 广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。 在我国电子锁整体水平尚处于国际上 70 年代左右,电子密码锁的成本还很高,市场 上仍以按键电子锁为主,按键式和卡片钥匙式电子锁

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号